Перейти к содержанию
    

SRAM память в SOPC Builder

Состряпал компонент для SOPC - SRAM. Но Nios не видит ее, т.е. нельзя ее указать как Exception Vector.

 

Какой-то параметр надо задать в редакторе компонентов для этого самодельного контроллера памяти?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Состряпал компонент для SOPC - SRAM. Но Nios не видит ее, т.е. нельзя ее указать как Exception Vector.

 

Какой-то параметр надо задать в редакторе компонентов для этого самодельного контроллера памяти?

Можно в tcl-файле Вашего компонента найти параметр "isMemoryDevice" и врукопашную изменить его с "false" на "true". Но это подход неправильный.

Интерфейс с внешним статическим ОЗУ делается "на раз" из шаблона (template) Avalon_Tristate в Component Editor'e. Там все сразу получается как положено, и самому ничего писать не надо :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ага, "на раз". Писать самому все же надо - файл HDL, где тупо передачу сигналов шины авалон наружу... И опять же при "неправильном подходе", при корректировке скрипта, все стало работать, т.к. в редакторе компонентов нет такой опции.

 

Может я ошибаюсь?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может я ошибаюсь?

Очень сильно ошибаетесь! Писать самому совершенно ничего не надо, настолько эта задача простая (а будете писать сами, еще не вдруг с подключением адресной шины разберетесь).

И в редакторе компонента нужная опция есть - на закладке Interfaces ставите галку на Show Deprecated parameters, и там - Memory Device.

В общем, тщательнеЕ изучайте матчасть :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот это дела. Читаю новую документацию на редактор компонентов пока...

Как разберусь - вернемся к обсуждению. Хотя у меня и так конечно работает...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Состряпал компонент для SOPC - SRAM. Но Nios не видит ее, т.е. нельзя ее указать как Exception Vector.

 

Какой-то параметр надо задать в редакторе компонентов для этого самодельного контроллера памяти?

при создании интерфейса с внешним статическим ОЗУ из шаблона (template) Avalon_Tristate в Component Editor'e в закладке интерфейсе надо поставить галочку на show deprecated parameters. появится закладка deprecated, в ней надо поставить галочку memory device. после этого ниус увидет ОЗУ.

Самому писать hdl-файл не надо, шаблон все делает сам, надо только в закладке сигналы подкорректировать сигналы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Stewart Little

Если не затруднит, дайте ссылку на литературу где подробней расписано.

Изменено пользователем Omen_13
Избыточное цитирование

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Stewart Little

Если не затруднит, дайте ссылку на литературу где подробней расписано.

Вся необходимая и достаточная литература но NiosII находится там : http://www.altera.com/literature/lit-nio2.jsp

Подробнее посмотрите вот это:

http://www.altera.com/literature/hb/qts/qts_qii54006.pdf

вот это:

http://www.altera.com/literature/hb/qts/qts_qii54020.pdf

и вот это:

http://www.altera.com/literature/hb/qts/qts_qii54005.pdf

 

Ну осваивайте инструментарий врукопашную - без этого понимание процесса очень сильно затруднится.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...