Перейти к содержанию
    

Непонятки при маппинге в ISE

Уважемые гуру, а отчего вот такое происходит?

 

Process "Translate" completed successfully

Using target part "3s500efg320-4".

Mapping design into LUTs...

ERROR:MapLib:661 - LUT2 symbol "XLXI_1/CS_not000111" (output

signal=XLXI_1/data_out_0_not0001) has input signal "XLXI_2/dr_loc" which will

be trimmed. See the trim report for details about why the input signal will

become undriven.

 

ERROR:MapLib:820 - LUT2 symbol "XLXI_1/CS_not000111" (output

signal=XLXI_1/data_out_0_not0001) has an equation that uses input pin I1,

which no longer has a connected signal. Please ensure that all the pins used

in the equation for this LUT have signals that are not trimmed (see trim

report for details on which signals were trimmed).

Изменено пользователем Gas Wilson

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если поискать на сайте Xilinx по фразе ERROR:MapLib:661, то можо найти вот такую ссылочку: http://www.xilinx.com/support/answers/23990.htm

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почитал - многое так и осталось непонятным... Вроде при синтезе он теперь не много обрезает, но тем не менее ошибки остались - я в печале :crying:

Изменено пользователем Gas Wilson

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почитал - многое так и осталось непонятным... Вроде при синтезе он теперь не много обрезает, но тем не менее ошибки остались - я в печале :crying:

Видимо, надо продолжать работать, чтобы ничего не обрезал...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возможно у Вас просто где-то в схеме брошенный вывод внимательно посмотрите свой проект

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

проект весь на vhdl только верхний уровень на schematic'e - так что висящих выводов вроде нет... да и проект то не сложный по ресурсам...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

проект весь на vhdl только верхний уровень на schematic'e - так что висящих выводов вроде нет... да и проект то не сложный по ресурсам...

Так если ошибки остались - значит есть. Смотрите, на какие сигналы ошибки, смотрите отчет синтезатора, что он там упростил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...