Перейти к содержанию
    

Спасибо за ссылку!Модуляция у меня будет непрерывная.

 

Скажите,а Ваша модель сильно изменится для QAM-256 или косметически?

 

Ну на QAM256 переделать ничего не стоит, только это не готовый модем а просто пояснение некоторых принципов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну на QAM256 переделать ничего не стоит, только это не готовый модем а просто пояснение некоторых принципов.

 

Да,конечно.Очень интересно на Вашей модели разбираться с принципом работы,ещё раз спасибо!

 

А Вы не пробовали уменьшить количество отсчётов на символ(до 4)?Я пока не настолько хорошо разобрался в модели.Просто у меня ожидается битрейт 200...300mbps.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да,конечно.Очень интересно на Вашей модели разбираться с принципом работы,ещё раз спасибо!

 

А Вы не пробовали уменьшить количество отсчётов на символ(до 4)?Я пока не настолько хорошо разобрался в модели.Просто у меня ожидается битрейт 200...300mbps.

 

Можно частоту дискретизации уменьшить вплоть до минимально необходимой по котельникову. Интерполятору фарроу необходима передискретизация примерно больше 4.5 отсчётов на символ, зависит от требуемой точности, тогда придётся полифазным фильтром увеличить количество отсчётов необходимое для нормальной работы интерполятора фарроу, всё это ессно делается без увеличения тактовой частоты в FPGA.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Можно частоту дискретизации уменьшить вплоть до минимально необходимой по котельникову. Интерполятору фарроу необходима передискретизация примерно больше 4.5 отсчётов на символ, зависит от требуемой точности, тогда придётся полифазным фильтром увеличить количество отсчётов необходимое для нормальной работы интерполятора фарроу, всё это ессно делается без увеличения тактовой частоты в FPGA.

 

Спасибо! Думаю смысл Вами сказанного окончательно пойму только после того как разложу Вашу модель "по полочкам".Будем рыть дальше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Смотря что понимать под упрощением. Так ведь можно модем в аналоге реализовать без FPGA и сигнальных процессоров. Дополнительные ГУН, ЦАП, обвязка, разводка печатной платы, отладка всего этого хозяйства вместо простого, сразу работающего, математического алгоритма это упрощение? И не будет это стоить дешевле. В серьёзном модеме интерполятор символьной синхронизации занимает незначительную часть вычислительных ресурсов. Современные средства ЦОС позволяют сделать всё в цифре не понимаю зачем нужно делать шаг назад.

 

В модеме, который я делал почти 5 лет назад, первый интерполятор был сделан на GC3011 (вроде бы, давно было). Затем переделали его на Virtex2. Интерполятор в месте с системой тактовой синхронизации занял отдельный плис 1500. Он требовал 30 умножителей, блок ОЗУ для хранения 15х4096 12-разярдных коэффициентов. Но зато позволял принимать сигналы на любой тактовой частоте, от 1 кГц до 50 МГц. Полностью весь демодулятор был сделан на 5 плисах Virtex2. 2 полуторотысячника и 3 тысячника.

 

В модеме, который я делал два года назад, на фиксированную частоту использовался ГУН, который стоит 30 долларов. Весь демодулятор поместился в четырехсотый спартан.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В модеме, который я делал почти 5 лет назад, первый интерполятор был сделан на GC3011 (вроде бы, давно было). Затем переделали его на Virtex2. Интерполятор в месте с системой тактовой синхронизации занял отдельный плис 1500. Он требовал 30 умножителей, блок ОЗУ для хранения 15х4096 12-разярдных коэффициентов. Но зато позволял принимать сигналы на любой тактовой частоте, от 1 кГц до 50 МГц. Полностью весь демодулятор был сделан на 5 плисах Virtex2. 2 полуторотысячника и 3 тысячника.

 

В модеме, который я делал два года назад, на фиксированную частоту использовался ГУН, который стоит 30 долларов. Весь демодулятор поместился в четырехсотый спартан.

 

http://www.altera.ru/cgi-bin/price/price

 

Altera Cyclone III EP3C16E144C8 31,36$

15408 логических элементов, логический элемент - 4-х входовой LUT + триггер

56 18bit умножителей

 

Согласитесь что сейчас символьная синхронизация на внешнем ГУНе будет худшим решением с любой точки зрения?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

 

А можно ещё вопросики по Незами рис. 7-3?Хочется разобраться.

 

1).Какова цель введения нелинейности [x]^M?

2).Это схема является частотным детектором?Вроде у него полоса захвата должна быть пошире чем фазового(в фазовом детекторе сигнальный вектор за счёт частотного сдвига не должен попасть в область соседней сигнальной точки созвездия).

3).Схема по Незами рис. 7-3 имеет область захвата dF <= +- 1 / (2*M*T),для QAM16 это будет +- 1/32T. А Ваша модель работает при 1/18T,Вы можете это как-то прокомментировать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А можно ещё вопросики по Незами рис. 7-3?Хочется разобраться.

 

1).Какова цель введения нелинейности [x]^M?

2).Это схема является частотным детектором?Вроде у него полоса захвата должна быть пошире чем фазового(в фазовом детекторе сигнальный вектор за счёт частотного сдвига не должен попасть в область соседней сигнальной точки созвездия).

3).Схема по Незами рис. 7-3 имеет область захвата dF <= +- 1 / (2*M*T),для QAM16 это будет +- 1/32T. А Ваша модель работает при 1/18T,Вы можете это как-то прокомментировать?

 

1) для того чтобы избавиться о модуляции, посмотрите модельки для QPSK, при умножении фазы на 4 она превращается в линию(по модулю 2pi ессно), для QAM16 не надо на 16 фазу умножать, а на 4 как для QPSK, но только плохой это детектор для QAM

2) да является, она работает как она работает, с чем сравнивать?

3)вот представьте себе передачу подряд какой-то одной точки QAM созвездия,пусть на приёме один вектор в точности попадает в решение, из-за сдвига частоты dF на следующем символьном интервале принимаемый вектор повернётся на 2*pi*dF*T радиан, если он попадает в область другого решения то захвата не будет

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2) да является, она работает как она работает, с чем сравнивать?

3)вот представьте себе передачу подряд какой-то одной точки QAM созвездия,пусть на приёме один вектор в точности попадает в решение, из-за сдвига частоты dF на следующем символьном интервале принимаемый вектор повернётся на 2*pi*dF*T радиан, если он попадает в область другого решения то захвата не будет

 

2).С Вашей моделью.У Вас же применён фазовый детектор,а полоса захвата шире.

3).Это я понимаю.Видно неточно сформулировал вопрос.Я хотел сказать что Ваша модель обеспечивает более широкую полосу захвата(1/18 > 1/32).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2).С Вашей моделью.У Вас же применён фазовый детектор,а полоса захвата шире.

3).Это я понимаю.Видно неточно сформулировал вопрос.Я хотел сказать что Ваша модель обеспечивает более широкую полосу захвата(1/18 > 1/32).

 

Ну обеспечивает какую-то полосу, вы поняли чем она определяется, а 1/32 это вы неправильно взяли, та формула для BPSK и QPSK, нету такого детектора для QAM чтоб в 16 степень возводить. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну обеспечивает какую-то полосу, вы поняли чем она определяется, а 1/32 это вы неправильно взяли, та формула для BPSK и QPSK, нету такого детектора для QAM чтоб в 16 степень возводить. :)

 

Понятно,спасибо. А тем более в 256-ю :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

http://www.altera.ru/cgi-bin/price/price

 

Altera Cyclone III EP3C16E144C8 31,36$

15408 логических элементов, логический элемент - 4-х входовой LUT + триггер

56 18bit умножителей

 

Согласитесь что сейчас символьная синхронизация на внешнем ГУНе будет худшим решением с любой точки зрения?

Не соглашусь.

XC3S400-4TQ144C XC3S400-4TQ144C - 400,000 SYSTEM GATE 1.2 VOLT FPGA $16,10

Кстати, ГУНы тоже бывают разные. Например делал я и с использованием ГУНов HOSONIC. Вроде доллара по 3 за штуку. И не забывайте, что генератор для АЦП нужен все равно. И еще, дополнительная логика в ПЛИСе приводит к увеличению выделяемого тепла, что между прочим становится довольно серьезной проблемой, особенно если устройство стоит на улице.

Посмотрите сюда http://www.grpz.ru/projects/index.shtml.

Станция "Струна-8". Там как раз стоит мой модем в котором hosonic. Коробочка у антенны содержит УМ, МШУ, дуплексер и полноценный модем с мультиплексором, демультиплексором, системой ТУТС. А размер у нее не такйо уж и большой. И каждые лишние 200-300 мВт тепла на плисе пагубно сказываются на надежности устройства.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не соглашусь.

XC3S400-4TQ144C XC3S400-4TQ144C - 400,000 SYSTEM GATE 1.2 VOLT FPGA $16,10

Кстати, ГУНы тоже бывают разные. Например делал я и с использованием ГУНов HOSONIC. Вроде доллара по 3 за штуку. И не забывайте, что генератор для АЦП нужен все равно. И еще, дополнительная логика в ПЛИСе приводит к увеличению выделяемого тепла, что между прочим становится довольно серьезной проблемой, особенно если устройство стоит на улице.

Посмотрите сюда http://www.grpz.ru/projects/index.shtml.

Станция "Струна-8". Там как раз стоит мой модем в котором hosonic. Коробочка у антенны содержит УМ, МШУ, дуплексер и полноценный модем с мультиплексором, демультиплексором, системой ТУТС. А размер у нее не такйо уж и большой. И каждые лишние 200-300 мВт тепла на плисе пагубно сказываются на надежности устройства.

Что-то сильно монстроидальный интерполятор получился у Вас. У меня полиномиальный интерполятор 3го порядка , структура Farrow, порядка 2000LE в первом циклоне занимал. На 100 Мгц работает, при том что умножителей в этой плисине нет вообще.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

 

И ещё один вопросик.

Если у меня символьная скорость будет находиться в диапазоне,скажем от 10 до 20 msps(к примеру приёмник должен уметь принимать данные от разных передатчиков,а у каждого передатчика своя символьная скорость),то как правильно поступить - изменять тактовую частоту АЦП по принципу ВСЕГДА 4 ТАКТА НА СИМВОЛ или установить фиксировано 80 МГц? :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И ещё один вопросик.

Если у меня символьная скорость будет находиться в диапазоне,скажем от 10 до 20 msps(к примеру приёмник должен уметь принимать данные от разных передатчиков,а у каждого передатчика своя символьная скорость),то как правильно поступить - изменять тактовую частоту АЦП по принципу ВСЕГДА 4 ТАКТА НА СИМВОЛ или установить фиксировано 80 МГц? :laughing:

 

АЦП конечно на фиксированной максимальной частоте, в FPGA будете фильтры менять т. п.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...