Перейти к содержанию
    

Насколько я понял из описаний ограничен WebPACK ISE, а ModelSim'у по фигу какое описание моделировать

 

Что касается WebPACK ISE - ничего сказать не могу (Я использую полный пакет Xilinx ISE), а по поводу ModelSim Вы поняли точно: этот симулятор универсален. Однако, как Вы заметили, не лишен и недостатков скорее не по функциональности а по удобству использования (пользовательский интерфейс).

 

При работе с ModelSim, единственное, что надо определить для себя - это способ ведения проекта. Есть следующие варианты (которые я попробовал):

1. Запуск ModelSim из пакета Xilinx ISE (при моделировании проетов ПЛИС этого производителя);

2. Создание, собственно, проекта ModelSim, в который подключаются исходники и модели;

3. Запуск моделирования через командный ".do" - файл (без создания проекта).

 

Первый вариант удобен тем, что компиляция (надо только запустить) необходимых библиотек для моделирования и исходников, участвующих в тесте, производится из оболочки ISE. Основной недостаток этого способа проявляется при выполнении моделирования, где в тестовый файл (TestBench) включены два и более модулей (например модель интерфейса и испытуемый модуль): при запуске моделирования автоматически перекомпилируется только один из этих модулей (это недостаток ISE), а остальные надо перекомпилировать вручную, отдельно запуская для этого ModeSim,

что очень неудобно. Если проект несложный - зтот вариант оптимален.

 

Второй вариант подразумевает создание проекта непосредственно в ModelSim, что занимает немного больше времени, зато Вы полностью контролируете и управляете ходом моделирования. Я пользуюсь именно этим способом.

 

Третий способ использовался тогда, когда в ModelSim невозможно было создавать проекты в том виде, как он появился в версии 5.6. Этот метод трудоемок с точки зрения написания и большого объема ручного редактирования ".do"-файлов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуй найти и установить Debussy [http://www.novas.com], дистрибутивчик 52v24 весит около 85мег, моделяет быстрее, позволяет серфить по верилогу как по гипертексту, диаграмма, потдерживает различные стейт-машины, при желании можно интергироваться с ModelSim

 

Насколько я могу судить со своей колокольни - Debussy сама по себе не моделирует. Это программа-серфер по проекту. Позволяет интегрироваться с симуляторами от сторонних производителей (Modelsim. Ncverilog, Verilog XL, Axis, VCS и т.д. и т.п.). Диаграммы она получает от симуляторов. Для того, чтобы симуляторы могли с ней интегрироваться, она предлагает им свои PLI/FLI библиотеки. Переваривает одинаково хорошо Verilog и VHDL

 

В частности, хорошо сочетать Debussy (!!! всем рекомендую, после пары дней работы с Debussy просто невозможно вернуться назад к GUI от Modelsim) совместно с Modelsim либо Ncverilog. Соответственно, в Modelsim или Ncverilog происходит моделирование и генерация диаграмм, а затем все это исследуется под Debussy.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибудь подскажите как состыковать Xilinx Webpack и Activе-Hdl. Дело в том что у меня не работает Model Slim (нет лицензии) и Я хотел бы попробовать провести P&R симуляцию в Activе-Hdl. Как єто можно сделать?????

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто-нибудь подскажите как состыковать Xilinx Webpack  и Activе-Hdl. Дело в том что у меня не работает Model Slim (нет лицензии) и Я хотел бы попробовать провести P&R симуляцию в Activе-Hdl. Как єто можно сделать?????

Лицензия - это не проблема.

А стыковать можно так:

1.Выбрать Flow Settings.

2.Для VHDL Synthesis /Tool Name выбрать Xilinx Xst (в зависимости от версии)

3.Для Implementation выбрать XilinxWebPack...

 

и хэв инджой.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В частности, хорошо сочетать Debussy (!!! всем рекомендую, после пары дней работы с Debussy просто невозможно вернуться назад к GUI от Modelsim) совместно с Modelsim либо Ncverilog. Соответственно, в Modelsim или Ncverilog происходит моделирование и генерация диаграмм, а затем все это исследуется под Debussy.

 

Большой плюс Дебюсси - при добавлении нового сигнала он тут же появляется, не надо перемоделировать как в случае использования отображения средствами МодельСима. Что актуально при больших проектах и длинных тестовых последовательностях.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 Серокой:

В моделсиме по умолчанию отслеживаются только те сигналы, которые выведены в окно Wave.

Тем не менее, можно получить значения всех нужных сигналов командой log

например: log -r /* (рекурсивно по всему дереву проекта)

можно выставить и нужную глубину, и следить за отдельными сигналами, прописывая к ним путь. Тогда вы эти сигналы можете на диаграммы выводить и убирать в любой момент.

простейший do-файл

 

vsim work.top

log -r /*

run -all

view wave #в гуи

do waveforms.do #отрисовать нужные сигналы

 

Недостаток - при больших проектах требует ресурсов - памяти в основном. И время моделирования заметно увеличивается!!! Так что либо генерировать в нужное время, напр.

run 10 ms

log -r /*

run 1 ms

nolog -r /* ##выключить

 

либо следить только за нужными сигналами.

В Debussy та же история - генерация временных диаграмм для нужных сигналов

$fsdbDumpvars(system.i_cpu)

или для всех сигналов

 

а все-таки NCV быстрее раза в полтора :)

Изменено пользователем id_gene

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть ли у кого-то информация о том как работать с modelsim, а то я привык симулировать в Max+plus,где можно легко и просто изменить любой сигнал на входе и теперь страдаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я страдал бы, если б мне в МаксПлюсе или Квартусе моделировать пришлось!

Начальное описание вот: http://www.compitech.ru/html.cgi/arhiv/02_06/stat_122.htm

А вообще, файл воздейсвий, моделирования, тоже пишется на HDL, а не графическим вводом, а дальше всё в общем так же.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работаю с Xilinx ISE, схемы описываю на VHDL. Моделирую в ModelSim XE, от которого я не в восторге. Вопрос: есть ли ему алтернатива? Есть ли у альтернатив поддержка Verilog (в будущем всё таки хочу освоить, а то встречаешь описание на verilog'е и как баран на новые ворота)? Интегрируется ли в Xilinx ISE?

 

Достойной альтернативой ModelSim может быть Verilog-NC фирмы Cadence

 

хочу заметить что Моделсим вообще не считается таким уж хорошим симулятором - тaкую популярность он получил из-за соотношения цена/качество - удовлетворительное качество при маленькой цене (cм. Synopsys VCS, Cadence Verilog-XL и NCVerilog, Fintronc Finsim)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работаю с Xilinx ISE, схемы описываю на VHDL. Моделирую в ModelSim XE, от которого я не в восторге. Вопрос: есть ли ему алтернатива? Есть ли у альтернатив поддержка Verilog (в будущем всё таки хочу освоить, а то встречаешь описание на verilog'е и как баран на новые ворота)? Интегрируется ли в Xilinx ISE?

 

Достойной альтернативой ModelSim может быть Verilog-NC фирмы Cadence

 

хочу заметить что Моделсим вообще не считается таким уж хорошим симулятором - тaкую популярность он получил из-за соотношения цена/качество - удовлетворительное качество при маленькой цене (cм. Synopsys VCS, Cadence Verilog-XL и NCVerilog, Fintronc Finsim)

Какова же цена этих алмазов, если стоимость ModelSim SE Plus (с полным фаршем) порядка 54 тыс. американских рублей + 10 тыс. ежегодная поддержка?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Примерные цены в евро:

  • Verilog-XL .................................................... 45 000

NC-Sim Mixed-Language ..............................100 000

NC-Sim Desktop............................................30 000

NC-Verilog ...................................................70 000

NC-VHDL .....................................................30 000

VHDL Desktop.............................................15 000

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Какова же цена этих алмазов, если стоимость ModelSim SE  Plus (с полным фаршем) порядка 54 тыс. американских рублей + 10 тыс. ежегодная поддержка?

ну прям не знаю откуда вы такую цену взяли - мы за Mоделсим платим порядка 6000 евро в год - на этом наши расxоды на него заканчиваются (ток пока не спрашивайте как нам это удаётся - наш менеджер в отпуске - у Моделтеха есть целая система скидок)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Примерные цены в евро:
  • Verilog-XL .................................................... 45 000

NC-Sim Mixed-Language ..............................100 000

NC-Sim Desktop............................................30 000

NC-Verilog ...................................................70 000

NC-VHDL .....................................................30 000

VHDL Desktop.............................................15 000

 

Интересно, интересно. А эти алмазы на фтп есть? :blush:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Примерные цены в евро:
  • Verilog-XL .................................................... 45 000

NC-Sim Mixed-Language ..............................100 000

NC-Sim Desktop............................................30 000

NC-Verilog ...................................................70 000

NC-VHDL .....................................................30 000

VHDL Desktop.............................................15 000

В общем цены сопоставимы. Практически каждый любитель симуляторов может себе это позволить.

Насчет качества моделирования можно спорить, но на мой взгляд работать удобнее с ModelSim, чем с NC-VHDL. Но конечно это дело вкуса.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...