Перейти к содержанию
    

Quartus II 7.2, отладочная плата Altera DE1 (Cyclone II, EP2C20F484C7). Хотел посмотреть как работает стандартный счетчик lpm_counter, но при симуляции появляются иголки (длительность импульса иголки - 9ps). Вход en это cnt_en, а cin это carry-in. Пробовал менять set_input_delay, set_output_delay ничего не получилось. Как получить нормальную симуляцию для этого счетчика, без иголок?

Так же появляются предупреждения:

Warning: The high junction temperature operating condition is not set. Assuming a default value of '85'.

Warning: The low junction temperature operating condition is not set. Assuming a default value of '0'.

Захожу в Assigments - Setting - Operating Settings and Conditions - Temperature а там значения Low temperature и High temperature изменить не получается.

post-31308-1199775565_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Quartus II 7.2, отладочная плата Altera DE1 (Cyclone II, EP2C20F484C7). Хотел посмотреть как работает стандартный счетчик lpm_counter, но при симуляции появляются иголки (длительность импульса иголки - 9ps). Вход en это cnt_en, а cin это carry-in. Пробовал менять set_input_delay, set_output_delay ничего не получилось. Как получить нормальную симуляцию для этого счетчика, без иголок?

...

На самом деле это не иголки, это физические задержки переключения и распространения выходов к выводу, если развернете шину(вектор) "q", то увидите эти задержки. Таких задержек не будет видно на Behavioral Simulation(не знаю есть ли в Квартусе такая или только есть Post-Route Simulation).

 

===

добавлено:

 

по идее если можно установить задержки в 0 , то они должны исчезнуть

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

по идее если можно установить задержки в 0 , то они должны исчезнуть

как же установить задержку в 0, когда она у меня равна 9 ps. Я так понял речь идет о констрейнах, установил set_input_delay и set_output_delay в 0. В итоге задержка уменьшилась до 7 ps.

 

Таких задержек не будет видно на Behavioral Simulation(не знаю есть ли в Квартусе такая или только есть Post-Route Simulation).

у меня Simulation mode: Timing. Отладочная плата показывает что счетчик не то считает. (выявляются помехи). Так что симулятор похоже правильно все симулирует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Warning: The high junction temperature operating condition is not set. Assuming a default value of '85'.

Warning: The low junction temperature operating condition is not set. Assuming a default value of '0'.

С этими предупреждениями разобрался. В названии чипа есть буква С следовательно температурный интервал работы от 0 до 85 градусов Цельсия. И сам квартус в Junction temperature range прописывает эти значения. Но почему то все равно предупреждения появляются. В Setting -Temperature - Board thermal modeling установил Typical и при компиляции предупреждения исчезли.

А вот что делать с иголками или задержками счетчика пока не знаю.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

у меня Simulation mode: Timing. Отладочная плата показывает что счетчик не то считает. (выявляются помехи). Так что симулятор похоже правильно все симулирует.

...

А вот что делать с иголками или задержками счетчика пока не знаю.

Я не говорил, что симулятор неправильно показывает.

Иголок на самом деле нет, это не иголки. Повторюсь разверните вектор "q", и посмотрите внимательно, так называемые вами "иголки" возникают когда одновременно изменяется более одного выхода счетчика, а так как физические задержки выходов счетчика(свойство микросхемы) разные, то и видны эти "иголки", и это видно когда выход счетчика свернут в шину. А что показывает ваша плата, трудно представить. А счетчик вроде правильно считает по спадающему фронту "clk1".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Иголок на самом деле нет, это не иголки. Повторюсь разверните вектор "q", и посмотрите внимательно, так называемые вами "иголки" возникают когда одновременно изменяется более одного выхода счетчика, а так как физические задержки выходов счетчика(свойство микросхемы) разные, то и видны эти "иголки", и это видно когда выход счетчика свернут в шину.

Изначально хотел выложить в развернутом виде шину, но рисунок большим получался. Поэтому выложил в свернутом виде. Смотрел я развернутом виде шину.

 

Почему

одновременно изменяется более одного выхода счетчика
?

 

А счетчик вроде правильно считает по спадающему фронту "clk1".
как это проверить?

 

 

Или по другому вопрос как "физические задержки выходов счетчика" правильно учесть. Чтобы они исчезли с диаграммы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Или по другому вопрос как "физические задержки выходов счетчика" правильно учесть. Чтобы они исчезли с диаграммы.

Разброс задержек есть в любой схеме - от этого вы никуда не уйдете.

Все, что вам нужно - это установившийся режим к началу следующего рабочего перехода тактового сигнала!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Разброс задержек есть в любой схеме - от этого вы никуда не уйдете.

Это понятно, вчера поигравшись с расстановкой пинов на шине добился того, что задержки исчезли.

Но это для меня не выход.

 

Все, что вам нужно - это установившийся режим к началу следующего рабочего перехода тактового сигнала!

Об этом тоже думал, но не знаю как корректно это сделать. Поставить триггера после выхода шины ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если вы посмтрите на выходы счетчика не на выходных пинах а прямо на q выходах регистров то задержек скорее всего не будет. Задержки появляются из за разного времни распространения сигнала от q выхода регистра до выходного пина. Имено поэтому

вчера поигравшись с расстановкой пинов на шине добился того, что задержки исчезли.

Но надо понимать что вреальной схеме какая то разность во времени переключения разрядов счетчика всегда будет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если вы посмтрите на выходы счетчика не на выходных пинах а прямо на q выходах регистров

Как посмотреть? Поставить после выходной шины регистры?

 

Но надо понимать что вреальной схеме какая то разность во времени переключения разрядов счетчика всегда будет.

Понимание есть :) , нет понимание того как

Все, что вам нужно - это установившийся режим к началу следующего рабочего перехода тактового сигнала!

вот это воплотить в схеме.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Это понятно, вчера поигравшись с расстановкой пинов на шине добился того, что задержки исчезли.

Но это для меня не выход.

 

 

Об этом тоже думал, но не знаю как корректно это сделать. Поставить триггера после выхода шины ?

Триггера в счетчике уже есть, все что вам надо, это читать их по нарастающему фронту clk1, когда данные уже установились, так как ваш счетчик считает по спадающему clk1.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Триггера в счетчике уже есть, все что вам надо, это читать их по нарастающему фронту clk1, когда данные уже установились, так как ваш счетчик считает по спадающему clk1.

Поясните эту мысль. Вчера clk1 подключал к пину L1 ( нарастающий фронт) и к пину L2 ( спадающий фронт) а все равно задержки были.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Поясните эту мысль. Вчера clk1 подключал к пину L1 ( нарастающий фронт) и к пину L2 ( спадающий фронт) а все равно задержки были.
Коллега vetal вам уже ответил: задержки будут всегда. Вам надо, чтобы задержка была не более периода тактового сигнала. К моменту следующего фронта все переходные процессы должны завершиться.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вам надо, чтобы задержка была не более периода тактового сигнала. К моменту следующего фронта все переходные процессы должны завершиться.

 

Весь вопрос в том как это сделать? :07: Увеличить период клока?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как посмотреть? Поставить после выходной шины регистры?

Ничего дополнительно ставить ненадо. Просто выведете в окно симулятора кроме входов и выходов внутрение цепи. Среди них будут выходы тригеров вашего счетчика.

Весь вопрос в том как это сделать? Увеличить период клока?

Увеличение периода клока не приведет к исчезновению задержек. Если задержки меньше периода вашего клока то ничего делать не надо, они не будут влиять на работу схемы.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...