Перейти к содержанию
    

Подскажите как на Verilog'е описать двунаправленый выход с подтягивающим резистором ?

Есть такой тип tri1, но он не синтезируется.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Есть такой тип tri1, но он не синтезируется.

и не должен. используйте vendor-примитив PULLUP конкретного семейства ПЛИС

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

и не должен. используйте vendor-примитив PULLUP конкретного семейства ПЛИС

А не подскажете как его использовать ? ПЛИС ProAsicPlus APA300

Или хотябы аналогичный пример для другой плис

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

используйте vendor-примитив PULLUP конкретного семейства ПЛИС
А лучше не захламлять код и поместить этот constraint в соответствующее место.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А не подскажете как его использовать ? ПЛИС ProAsicPlus APA300

Или хотябы аналогичный пример для другой плис

 

Да какие сложности, смотрим файл "pa_libguide.pdf"

 

Находим там например вот такую вещь на 65-й странице.

IOB33PHU - 3.3 Volt CMOS input levels, PCI compliant, high slew rate, with pull-up resistor.

 

И пользуемся в коде, если хочется именно в коде:

module examp (inout externalData, input a, enable,output b);

IOB33PHU IO_BUFFER (.PAD(externalData),.EN(enable),.Y(b),.A(a));
endmodule

 

Сигналы для передачи в инстанцию буфера, разумеется, не обязательно с портов модуля брать, кроме разве что externalData. Логично, что он будет портом top модуля.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я всегда пишу в ucf файле и всё ок:

NET "FPGA_DONE" LOC = "p3" | PULUP ;

А вы в чем пишете? У меня ISE4.2 ругается на неизвестный символ "|" :wacko: А пулапы ой как нужны...

Девайс - xcr3064xl

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вы в чем пишете? У меня ISE4.2 ругается на неизвестный символ "|" :wacko: А пулапы ой как нужны...

Девайс - xcr3064xl

Просто у вас немного несвежий ISE, сейчас уже версия 10 скоро выйдет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вы в чем пишете? У меня ISE4.2 ругается на неизвестный символ "|" :wacko: А пулапы ой как нужны...

Девайс - xcr3064xl

А Вы отдельно напишите.

NET "FPGA_DONE" LOC = "p3";

NET "FPGA_DONE" PULLUP ;

 

На Верилоге:

// synthesis attribute pullup [of] signal_name [is] “yes”;

 

На VHDL:

attribute pullup: string;

attribute pullup of signal_name: signal is “TRUE”;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ругается что мое семейство CoolRunner XPLA3 поддерживает пулап только для входных портов. А у меня двунаправленный с переходом в третье состояние. И вот надо чтоп в третьем состоянии включались пулапы. Это можно сделать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ругается что мое семейство CoolRunner XPLA3 поддерживает пулап только для входных портов. А у меня двунаправленный с переходом в третье состояние. И вот надо чтоп в третьем состоянии включались пулапы. Это можно сделать?
Z-состояние (высокоимпедансное) при наличии pull-up или pull-down уже не может именоваться высокоимпедансным. Вам нужна схема с открытым стоком (открытым коллектором), а не с буфером в Z-состоянии.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения, что вклиниваюсь... но уж зашла речь об pull-up's, в MAX7000 (в частности 128) есть ли оно?

 

Выводы тоже bi-directional

Изменено пользователем toweroff

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...