Перейти к содержанию
    

Стартер кит

Здравствуйте, хотелось бы услышать мнение умных людей по поводу того, стоит ли брать для начала обучения ПЛИСам вот эту вещицу:

http://www.terasic.com.tw/cgi-bin/page/arc...o=39&No=215

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте, хотелось бы услышать мнение умных людей по поводу того, стоит ли брать для начала обучения ПЛИСам вот эту вещицу:

http://www.terasic.com.tw/cgi-bin/page/arc...o=39&No=215

нет :)

 

для начала не стоит

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Может аргументируете, посоветуете что-то?

 

Я также только начинаю изучать ПЛИСы, немного с другой стороны - я смотрю га то как некоторые приборы были сделаны до меня. Но им уже несколько лет, и выбирать наверняка придется чтото другое. Вот потому я считаю что хоть можно учиться и на готовой макетке, но изучить основы предмета - один из языков HDL, какой нибудь инструмент разработки будет лучше и продуктивнее. Собственно из предварительной оценки средств разработки, что альтеры, что хилинкса и чтения тех немногих материалов форума, что я успел прочесть, убеждаюсь в том что неважно который из них будет Verilog или VHDL. Для изучения что как работает достаточно будет тех виртуальных симуляций, что предоставит какой нить учебный вебпакет. Ну а после придет и понимание нужен ли реальный прототип или нет. Все равно изза большого количества элементарных узлов ПЛИС для меня будет выглядеть как абстракция которая должна выполнить то что я буду в нее закладывать. Некоторое время тому назад мне пришлось разработать парочку устройств на дискретных элементах и PROM, откуда прищло понимание что изобретение велосипедов надо оставить их изобретателям :), в результате пободавшись они родят нам современный велосипед, на котором ездить будет удобней чем на собственной конструкции, и, возможно, сэкономит время на собстаенно разработку приборов.

 

Цели у всех могут разные, потому кому нибудь и с прототипа лучше будет начать - тому же изобретателю велосипеда.

 

Простите если был излишне многословен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я также только начинаю изучать ПЛИСы, немного с другой стороны - я смотрю га то как некоторые приборы были сделаны до меня. Но им уже несколько лет, и выбирать наверняка придется чтото другое. Вот потому я считаю что хоть можно учиться и на готовой макетке, но изучить основы предмета - один из языков HDL, какой нибудь инструмент разработки будет лучше и продуктивнее. Собственно из предварительной оценки средств разработки, что альтеры, что хилинкса и чтения тех немногих материалов форума, что я успел прочесть, убеждаюсь в том что неважно который из них будет Verilog или VHDL. Для изучения что как работает достаточно будет тех виртуальных симуляций, что предоставит какой нить учебный вебпакет. Ну а после придет и понимание нужен ли реальный прототип или нет. Все равно изза большого количества элементарных узлов ПЛИС для меня будет выглядеть как абстракция которая должна выполнить то что я буду в нее закладывать. Некоторое время тому назад мне пришлось разработать парочку устройств на дискретных элементах и PROM, откуда прищло понимание что изобретение велосипедов надо оставить их изобретателям , в результате пободавшись они родят нам современный велосипед, на котором ездить будет удобней чем на собственной конструкции, и, возможно, сэкономит время на собстаенно разработку приборов.

 

Цели у всех могут разные, потому кому нибудь и с прототипа лучше будет начать - тому же изобретателю велосипеда.

 

Простите если был излишне многословен.

 

Прекрасно вас понимаю, но думаю без закрепления элементарных знаний на жележе будет нетак интересно изучать ПЛИС. Даже совсем не интересно.

Я вижу изучение ПЛИС для себя след. образом: сделал небольшую простенькую программку(помигать диодами для первого раза), залил её в ПЛИС. Потом усложнять эту самую программку и снова залилвать а ПЛИС. Многие скажут что есть симулятор, но намного нагляднее и интереснее наблюдать всё вживую.

 

Это моё мнение, возможно неверное, но хочется сразу что-нибудь запрограммить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО штука бесполезная, лутше нормальный программатор взять на USB(1600р) от того же Terasica, или если есть деньги то Altera DE2 Board я за 9000 примерно брал в Ефо.

 

 

Эта плата имеет встроенный программатор и 4 кнопки, 8 светодиодов.....афигеть и дисплейчег подключить можно, вот только что на него выводить?

Просто за эти деньги можно свою плату заказать с немного большей переферией или на макетке....Да и освоение это переферии займет 2 дня максимум :beer: и что потом???

Изменено пользователем Skywolf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ИМХО штука бесполезная, лутше нормальный программатор взять на USB(1600р) от того же Terasica, или если есть деньги то Altera DE2 Board я за 9000 примерно брал в Ефо.

 

Может вы и правы, но ради хобби отдать 9000 я не готов. Вот разберусь с этим, будет нехватать ресурсов, тогда возьму что нибудь посерьезнее.

 

Эта плата имеет встроенный программатор и 4 кнопки, 8 светодиодов.....афигеть и дисплейчег подключить можно, вот только что на него выводить?

 

Для начала 4 кнопки, 8 светодиодов и более 80 линий в/в мне пока хватит.

 

Просто за эти деньги можно свою плату заказать с немного большей переферией или на макетке....

 

Где можно заказать?

 

Да и освоение это переферии займет 2 дня максимум и что потом???

 

Наверное у меня займет немного больше, для ПЛИС ниразу не писал прог..

Потом можно чего-нибудь подключить своё.

 

P.S. Хотя конечно буду иметь голую плис и программатор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

про заказать я имел ввиду самому развести и отдать в производство, ну действительно вместе с комплектухой будет немножко дороже...., а потом на этой платке MAX в BGA - дома не перепаяешь и ресурс небольшой 100 циклов(гарантированных).

 

ЗЫ у меня вчера порт JTAG на CYCLONE II сгорел.....вдруг неожиданно взял и перестал прошиваться, а с флехи нормально грузился, это я к тому что перепаивать

 

если денег жалко тогда можно посмотреть Altera DE1 тоже от Terasic

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Странно, друзья. Так по существу не объяснили почему не стоит ее брать.

По-моему можно. Все-таки несколько сот ячеек есть и 8Кбит памяти. Потренироваться же можно. Светодиоды мона вручную напаять. Или если есть осциллограф то просто граббить диаграммы на нем.

Если говорить об изучении работы альтеровского аналога ChipScope (не знаю что там у альтеры) то да - минус, но на первое время можно перебиться без него.

 

А начинать ИМХО лучше на Верилоге - он гораздо менее замороченый и понятнее и проще синтаксис.

 

 

Вдогонку

Для изучения верилога из того что видел рекомендую

 

Prentice Hall

By Samir Palnitkar

Verilog HDL - A Guide to Digital Design & Synthesis (2nd.Ed.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...