Перейти к содержанию
    

Можно ли заставить моделсим отображать кириллицу нормально, а не зюками? Хотя бы в логе.

 

Вопрос снимается. Нашел!!!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нашли - поделитесь с остальными. :beer:

А я думал, что тупой вопрос задал :07:

Из GUI: View - Encoding - cp1251

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А я думал, что тупой вопрос задал :07:

Из GUI: View - Encoding - cp1251

 

http://www.megratec.ru/forum/1/?find=cp1251

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А я думал, что тупой вопрос задал :07:

Из GUI: View - Encoding - cp1251

 

Для меня это очевидно - для других - нет.

Поэтому я и предлагаю делиться в этой теме маленькими хитростями.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Active HDL уже 2 раза был подловлен мной на багах. Modelsim же всегда вел себя достойно. В связи с этим пощупал текстовый редактор моделсима (мол, не перейти ли мне на полностью на моделсим) Ужас. Работать в принципе невозможно. Уж лучше в блокноте. В связи с этим вопрос к корифеям - кто-нибудь пишет код в моделсиме? Может, я не умею его готовить?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте Hdl Designer от Ментора. Это оболочка с неплохим редактором (фактически TurboWriter от Saros), устанавливаете пути к Modelsim, Вашему синтезатору, и получаете удовольствие от процессом проектирования. Есть возможность верификации.

Win и Linux.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробуйте Hdl Designer от Ментора. Это оболочка с неплохим редактором (фактически TurboWriter от Saros), устанавливаете пути к Modelsim, Вашему синтезатору, и получаете удовольствие от процессом проектирования. Есть возможность верификации.

Win и Linux.

 

его редактор жуткий тормоз. работайте gvim(халява)/crimson edtor (хялява и весит крайне мало)/SlicEdit (бало + 80 метров :().

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

его редактор жуткий тормоз.

Там не только редактор, там вся оболочка такая. Сделана она, очевидно, как каком-то кроссплатформенном GUI (похож на Tkinter), от него, видать, все эти проблемы. Хорошее, продвинутое, в общем-то, ядро симулятора весьма теряет очки из-за такого интерфейса. Актив имеет ядро заметно послабее (и в плане возможностей, и в плане багов), но зато сильно выигрывает за счет приятного интерфейса, из-за чего небольшие проекты на нем гонять и удобнее, и эффективнее... Эх, нет совершенства нигде. :(

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Там не только редактор, там вся оболочка такая. Сделана она, очевидно, как каком-то кроссплатформенном GUI (похож на Tkinter), от него, видать, все эти проблемы. Хорошее, продвинутое, в общем-то, ядро симулятора весьма теряет очки из-за такого интерфейса. Актив имеет ядро заметно послабее (и в плане возможностей, и в плане багов), но зато сильно выигрывает за счет приятного интерфейса, из-за чего небольшие проекты на нем гонять и удобнее, и эффективнее... Эх, нет совершенства нигде. :(

 

Внешний редактор + скрипты на TCL очень неплохо решают эту проблему. :)

А ModelSim - это не редактор, а средство моделирование. И с моделированием он справляется отлично.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А ModelSim - это не редактор, а средство моделирование. И с моделированием он справляется отлично.

Как так? А как же File - New - Source... А как же Show Language Templates? Просто редактором судя по ответам никто не пользуется, как я и предполагал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Внешний редактор + скрипты на TCL очень неплохо решают эту проблему. :)

А ModelSim - это не редактор, а средство моделирование. И с моделированием он справляется отлично.

А какой Вы посоветуете Внешний редактор? Хотелось чтобы он умел делать "автозавершение", проверку синтаксиса, имел возможность встраивать собственные "заготовки" и втоже время был прост для освоения. Лично для меня Slickedit очень сложен.

Скрипты на TCL - это прекрасно, но не всем дано в них разобраться. Информации на русском языке о них к сожалению мне не удалось найти. Буду очень Вам признателен если сочтете возможным описать приведенный Вами скрипт в токе http://electronix.ru/forum/index.php?showtopic=32920&hl= .

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Внешний редактор + скрипты на TCL очень неплохо решают эту проблему. :)

А ModelSim - это не редактор, а средство моделирование. И с моделированием он справляется отлично.

Зачем тогда вообще там оболочку сделали? Пусть бы и шел только в виде command-line интерфейса. А раз сделали, значит позиционируют и такое его использование.

 

Внешний редактор + скрипты - это очень хорошо, замечательно и правильно. Только вот этот подход требует заметно больше времени и усилий для освоения. Если очень плотно сидеть на этом, то оно конечно стОит взяться и выучить скрипты (с редактором проще). Но если к моделированию прибегаешь периодически, то это уже становится проблемой - мало того, что надо учить новый язык TCL, так это ж все забывается, если им постоянно не пользоваться (вот кабы он несколько языков для этого поддерижвал - тот же Питон, например, вот это было б другое дело, хотя все равно хорошего GUI это не заменяет). Вот GUI тут и приходит на помощь.

 

Кроме того, само окошко, где рисуются диаграммы сигналов тоже выполнено на этом же движке и качество отображения, имхо, оставляет желать лучшего (по сравнению с тем же Альдеком). Например, курсоры в Альдеке к фронтам "клеятся" заметно "тактильнее". :)

 

А какой Вы посоветуете Внешний редактор? Хотелось чтобы он умел делать "автозавершение", проверку синтаксиса, имел возможность встраивать собственные "заготовки" и втоже время был прост для освоения. Лично для меня Slickedit очень сложен.

А что в нем сложного? Редактор как редактор. Запускаете, открываете файл, редактируете. При желании можно создавать проекты, что логично и удобно, когда работа и идет над проектом. Автозавершение есть, собственные шаблоны (у него они называются aliases) задавать можно. Подствека синтаксиса есть. Можно пускать внешние тулзы, перехватыватьих вывод и переходить к месту ошибки.

 

А проверкой синтаксиса обычно занимается компилятор, а не редактор. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Существует ли возможность перенаправить в файл все мессаги симулятора (#), включая варнинги ? А то как-то грустно потом разбирать вывод, часть пропадает. В SE_CMD.PDF на эту тему не нашел ничего.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Существует ли возможность перенаправить в файл все мессаги симулятора (#), включая варнинги ? А то как-то грустно потом разбирать вывод, часть пропадает. В SE_CMD.PDF на эту тему не нашел ничего.

 

Все это по-умолчанию пишется в файл transcript.

 

Если хочется поменять это поведение, то читаем описание:

 

 

transcript file

 

The transcript file command sets or queries the pathname for the transcript file. You can use this command to clear a transcript in batch mode or to limit the size of a transcript file. It offers an alternative to setting the PrefMain(file) Tcl preference variable.

 

Syntax

 

transcript file [<filename>]

 

Arguments

 

<filename>

Specifies the full path and filename for the transcript file. Optional. If you specify a new file, the existing transcript file is closed and a new transcript file opened. If you specify an empty string (""), the existing file is closed and no new file is opened. If you don't specify this argument, the current setting is returned.

 

Examples

 

 

* Close the current transcript file and stops writing data to the file. This is a method for reducing the size of your transcript.

transcript file ""

 

 

* This series of commands results in the transcript containing only data from the second millisecond of the simulation. The first transcript file command closes the transcript so no data is being written to it. The second transcript file command opens a new transcript and records data from 1 ms to 2 ms.

 

transcript file ""

run 1 ms

transcript file transcript

run 1 ms

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...