Перейти к содержанию
    

Инициализация регистров в плис Actel

Нужна помощь! :help:

Объясните мне, пожалуйста, как инициализировать регистры плис Actel после ее включения. Можно ли обойтись без внешней линии reset? Можно ли сделать внутренний ресет?

 

Я написал модуль откомпилировал и просимулировал его в Quartuse. На симуляции в начальный момент времени все регистры в 0, всё хорошо.

 

Потом этот же модуль синтезирую в sinplify, designer и файл из back-annotation симулирую в modelsime. Тут на симуляции все регистры и все выходы (за исключением тех, на которые железно подаются 0 или 1) в неопределенном состоянии.

Входные сигналы все задаю. Может я чего не так делаю?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Нужна помощь! :help:

Объясните мне, пожалуйста, как инициализировать регистры плис Actel после ее включения. Можно ли обойтись без внешней линии reset? Можно ли сделать внутренний ресет?

 

Я написал модуль откомпилировал и просимулировал его в Quartuse. На симуляции в начальный момент времени все регистры в 0, всё хорошо.

 

Потом этот же модуль синтезирую в sinplify, designer и файл из back-annotation симулирую в modelsime. Тут на симуляции все регистры и все выходы (за исключением тех, на которые железно подаются 0 или 1) в неопределенном состоянии.

Входные сигналы все задаю. Может я чего не так делаю?

 

После включения питания все триггеры примут случайным образом значения 0 или 1, так что нужен внешний ресет обязательно, внутренний там организовать нечем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо, значит буду делать внешний ресет.

Нашел на сайте Актела такой документ: http://www.actel.com/documents/POR_Circuit_AN.pdf

Сделаю, как в нем написано.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...