Перейти к содержанию
    

Здравствуйте уважаемые...

Вот решил попробывать силы в ПЛИС..так я аврками занимаюсь...И сразу возникают вопросы...хотя я и понимаю что ПЛИС дает много возможностей...но еЁ нада еще гдето взять...каков ресурс перепрограммирования...какой лучше выбрать для начала ПЛИС...и скока ваще будет стоить такое удоваольствие...и ваще для разроботак в домашних условиях можно ли обойтись двухслойной платой или надо многослойка...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Самый быстрый способ получить ответы на все эти вопросы, а также множество других - перечитать этот форум и особенно фак.

Двухслойки достаточно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну а как насчет денежной стороны вапроса...на скока это дорогое удовольствие...скока надо потратить для начала простейших разработок...а жтаг самому возможно сваять? то сматрю он дорого стоить...какова средняя стоимость ПЛИС...если можно поподробнее на что надо потратиться...

П.С. Бедный студент...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну а как насчет денежной стороны вапроса...на скока это дорогое удовольствие...скока надо потратить для начала простейших разработок...а жтаг самому возможно сваять? то сматрю он дорого стоить...какова средняя стоимость ПЛИС...если можно поподробнее на что надо потратиться...

П.С. Бедный студент...

Почитайте форум :)

1) Сваять LTP кабель -- самое дешовое удовольствие (схему ищите на plis.ru)

2) Можно купить стартеркит (~$100) или спаять самому плату (можно уложиться в ~$20-30)

3) и вообще лень в очередной раз все переписывать, посмотрите http://electronix.ru/forum/index.php?showt...mp;#entry107043 :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если начинать разбираться с ПЛИС Xilinx, то я советую начать с семейства CoolRunner (для CPLD) или Startan-II / Startan-3 (для FPGA).

 

Документацию, переведенную на русский язык, можно взять http://www.plis.ru/

Если есть возможность, то закажите бесплатный диск ISE WebPack 9.1 от Inline Group (обычно на этом диске идет вся свежая документация от Xilinx + еще и переводы на русском) - эта бесплатная среда, позволяющая освоить все процедуры работы с ПЛИС. Для самой же работы лучше скачать с ftp для "своих" Xilinx ISE (полноценную версию).

 

CoolRunner (другое название XPLA3):

циклов перепрограммации внутренней Flash памяти обещают около 10000 (за 1 год усиленной работы). Семейство 5V толерантное, имеет существенно улучшенную логику (по сравнению с MAX3000 и XC9500), имеет возможность работы с внутренним глобальным клоком.

Из опыта могу сказать, что это семейство весьма живучее (как-то раз, на плате с XCR3128XL-10TQ144С появилась сопля закоротившая питание CoolRunner на +5V - он отработал полных 2 суток без каких-либо заметных аномалий, а нашел я наличие кз случайно, только когда увидел на выходе ПЛИС уровни размахом в 5В). Если Вам понадобится описание XPL3 на русском языке - сообщите, и я его Вам перешлю.

 

Startan-II:

на это семейство нет eratta, в то же время на нем можно отработать основные навыки работы с FPGA. (Startan-II в отличии от Startan-IIE - 5V толерантен, но Startan-IIE умеет работать с диф. стандартами ввода/вывода). Но надо иметь в виду, что Spartan-II уже несколько староват.

 

Startan-3: самое свежее дешевое Xilinx семейство, имеет практически все узлы, которые имеют дорогие и могучие ПЛИС, но часто его освоение связано с рядом неприятностей.

 

CoolRunner и Startan-II программировал с Parallel Download Cable 3, ситема палка-веревка на 2 буферах, схему кабеля прилагаю.

DownloadCableScheme.pdf

Изменено пользователем Boris_TS

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вам понадобится описание XPL3 на русском языке - сообщите, и я его Вам перешлю.

А можно и мне? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вам понадобится описание XPL3 на русском языке - сообщите, и я его Вам перешлю.

А можно и мне? :)

 

Можно, я не жадный.

 

Файл позаимствован с ISE WebPack 6.3, диск распростронялся InlineGroup (www.plis.ru)

После прочтения русского вырианта, настоятельно рекомендую сравнить все числовые значения параметров с англоязычным оригиналом документа DS012.PDF.

xpla3_rus.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо...Я щас вам задам вопрос, Тока неругайте меня за непоняткаи...получается что ПЛИС сама особо ниче не может делать как микроконтроллер...и ей нужно внешнее устройство для управления...при этом она может заменить кучу логических микросхем которые моглиб реализовать какую нить комбинационную схему...и тем самым сэкономить место и время МК...например вывод намногосегментый светодиодый индикатор...МК типа шлет пару байтов данный а ПЛИС реализует динамическую индикацию...или еще ченить не сильно сложное ,но быстрое, экономя ресурсы МК..Так я понял..если так то я Буду учить ПЛИС...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Спасибо...Я щас вам задам вопрос, Тока неругайте меня за непоняткаи...получается что ПЛИС сама особо ниче не может делать как микроконтроллер...и ей нужно внешнее устройство для управления...при этом она может заменить кучу логических микросхем которые моглиб реализовать какую нить комбинационную схему...и тем самым сэкономить место и время МК...например вывод намногосегментый светодиодый индикатор...МК типа шлет пару байтов данный а ПЛИС реализует динамическую индикацию...или еще ченить не сильно сложное ,но быстрое, экономя ресурсы МК..Так я понял..если так то я Буду учить ПЛИС...

 

Нет :) :) Вы все поняли не так.

Все намного лучше и удобнее -- ПЛИС может полностью заменить МК+логика (в общем случае это называется система на кристалле: SoC)

 

Процессоры (и другую переферию) можно найти в виде исходников на http://opencores.org

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Если Вам понадобится описание XPL3 на русском языке - сообщите, и я его Вам перешлю.

А можно и мне? :)

 

Можно, я не жадный.

 

Файл позаимствован с ISE WebPack 6.3, диск распростронялся InlineGroup (www.plis.ru)

После прочтения русского вырианта, настоятельно рекомендую сравнить все числовые значения параметров с англоязычным оригиналом документа DS012.PDF.

Спасибо. А то у меня только этот самый DS012.PDF был.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тогда несколько вопросов...

1)какой емкостью должна обладать ПЛИС чтобы на нем реализовать Микропроцессор?

и воопще какой емкости для чего могет хватить...

2)если я и реализовал процессор например мк51...то я могу писать программы на него обычным компилятором для 51? и потом заливать его в прлис и оно будет работать как 51...

3)я что могу написать свой процессор?

4)возвращаясь к предыдущему моему посту... я был прав что можна реализовать сложную логику...которая моглаб заменить гору логики...? или собрать ваще Процессор мутант...со странной переферией...

Я просто занимаясь АВРками и загнав туда всякие хитрые расчеты и переложив на ПЛИС всякие быстрые дела...

например генереция видео сигнала...хотя я и понимаю что использовать и МК можна но пусть Плис занимается выводом на экран из внешней ОЗУ...а авр просто что нить туда кидает в ОЗУ внешнее...

я еще смотрю что можна взять плис с внутренним флеш...чтобы внешнюю не тыкать...

а ..еще вопрос.. а как или точнее где можно промоделировать работу плис, то втыкнешь ,а неработает...

и еще какие есть инструменты дебага программы...жтаг как я понял тока для загрузки программы...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

я еще смотрю что можна взять плис с внутренним флеш...чтобы внешнюю не тыкать...

Можно, но CPLD имеют достаточно убогую внутренную архитектуру; если хочется взять ПЛИС типа FPGA, но с Flash памятью, просмотрите на изделия фирмы Actel; насколько я помню у Alter'ы было интересное семейство CPLD, в которых чаcть конфигурационной Flash пямяти, можно использовать как памать пользователя (и не ставить внешную flash'ку для сохранения каких-либо параметров устройства).

 

а ..еще вопрос.. а как или точнее где можно промоделировать работу плис, то втыкнешь ,а неработает...

Впринципе, у каждого производителя ПЛИС, есть свой продукт для этого (например Xilinx предлагает Chip Scope Pro). Я пользуюсь Aldec AHDL 7.1 (на ftp он должен быть - точно я не знаю, сейчас я доступ туде пока не имею) - это графическая среда моделирования/описания проекта (она поддерживает очень много производителей ПЛИС, вот только не все они спешат поддерживать AHDL).

 

и еще какие есть инструменты дебага программы...жтаг как я понял тока для загрузки программы...

Основной инструмент это осциллограф (или логический анализартор - зависит от решаемой проблемы).

 

жтаг как я понял тока для загрузки программы...

Вообще-то через него возможно заниматься и отладкой проекта. Для ПЛИС Xilinx ознакомьтесь с разделом Boundary Scan - он был к каждом описании FPGA.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2)если я и реализовал процессор например мк51...то я могу писать программы на него обычным компилятором для 51? и потом заливать его в прлис и оно будет работать как 51...

Все зависит от того, насколько точно вы повторили мк51.

 

3)я что могу написать свой процессор?

Можно, но наверное лучше воспользоваться уже готовыми ядрами. У Alter'ы есть NIUS, если я не ошибаюсь, то его даже некоторые Unix'соиды поддерживают (пуcть меня поправят если я ошибаюсь). У Xilinx есть PicoBlase, MicroBlase. Если взять ПЛИС Virtex-II Pro, то в ней есть до 4 ядер PowerPC (заложенных аппаратно). Для познания процессоров Xilinx, ознакомьтесь с возможностями Xilinx EDK.

 

4)возвращаясь к предыдущему моему посту... я был прав что можна реализовать сложную логику...которая моглаб заменить гору логики...? или собрать ваще Процессор мутант...со странной переферией...

Можно.

 

Я просто занимаясь АВРками и загнав туда всякие хитрые расчеты и переложив на ПЛИС всякие быстрые дела...

например генереция видео сигнала...хотя я и понимаю что использовать и МК можна но пусть Плис занимается выводом на экран из внешней ОЗУ...а авр просто что нить туда кидает в ОЗУ внешнее...

Наверное, в вашем случае, это будет оптимальным вариантом, по трудозатратам на разработку. А для некоторых задач "вывода на экран", может хватить и внутреннего двухпортового ОЗУ FPGA (сейчас его в них много).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тогда несколько вопросов...

1)какой емкостью должна обладать ПЛИС чтобы на нем реализовать Микропроцессор?

и воопще какой емкости для чего могет хватить...

 

На данный момент использую для прототипирования микропроцессора ПЛИС EP1C12 - роект пока занимает 68% ячеек, там безумно расширенное 51-ое ядро (16-битные операции, DSP-инструкции, и т.д.), плюс неплохая пачка периферии (таймеры, уарт, контроллер DMA, контроллеры АЦП и ЦАП, контроллер LED-индикатора, клавиатуры), сопроцессор цифровой фильтрации...

 

2)если я и реализовал процессор например мк51...то я могу писать программы на него обычным компилятором для 51? и потом заливать его в прлис и оно будет работать как 51...

Если Вы его напишете полностью совместимым - то естественно да.

3)я что могу написать свой процессор?

Легко.

4)возвращаясь к предыдущему моему посту... я был прав что можна реализовать сложную логику...которая моглаб заменить гору логики...? или собрать ваще Процессор мутант...со странной переферией...

Правы.

а ..еще вопрос.. а как или точнее где можно промоделировать работу плис, то втыкнешь ,а неработает...

и еще какие есть инструменты дебага программы...жтаг как я понял тока для загрузки программы...

Если проект не сложный, то может оказаться достаточным симулятор, находящийся в составе родной среды разработки. У альтеры это Quartus. Для всяких сложных и тяжелых случаев применяют специальные программы-симуляторы, Mentor Graphics modelsim, Cadence IUS, Synopsys VCS, и т.п. Их выбор есть. И есть (в части модельсима) упрощенные "ПЛИС-едишн", например modelsim Altera Edition.

Жтаг не только для загрузки программы - через него можно в среде разработки смотреть состояние сигналов, содержимое ОЗУ, и т.п., но это в реальной жизни не нужно.

 

А с чего начать - я бы посоветовал или семейство Cyclone (первое, не -II), или семейство MAX-II. Но, раз у Вас снаружи МК, то лучше FPGA, так как есть откуда грузить конфигурацию.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...