Перейти к содержанию
    

Переход от ModelSim 6.1 к 6.2

Поставил недавно новый ModelSim 6.2e, по причине лучшей работы с языком моделирования, и тут вдруг обнаружил, что сигналы внутри модуля достать невозможно...

к примеру:

module TEMP    #(...)
    (
    input
    i_GCLK,         /*  Т. И.                       */
    i_CLK_EN,       /*  разрешение генерации E1     */

    /*  битовый фрейм   */
    output
    o_DOUT          /*  исходящие данные (LSB-первый)   */
    );
    /*-----------------------------------------------------------------------------
    */
...
    always @(posedge i_GCLK)begin
            if  ( i_CLK_EN )begin
                    R_cnt_bit <= R_cnt_bit + 1;      /* счетчик, который работает внутри и не имеет выхода наружу из модуля */
                end
        end
...
endmodule

 

И вот этот самы счетчик нельзя вывести на WAVE-диаграмму, это что такой прикол новый?

Причем в описании DO-файла:

add wave -noupdate -format Logic -radix hexadecimal /C_DEFRM_SERSA/R_cnt_bit

ModelSim ругается:

# ** Error: (vish-4014) No objects found matching '/C_DEFRM_SERSA/R_cnt_bit'.

 

Как мне теперь выводить внутренние сигналы, кто работал с новым ModelSim?

Кто знает их новую программу для моделирования QuestaSim, она так же работает или со старыми возможностями?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почитайте про vopt и иже с ним. Проблема кроется в том, что по-умолчанию в новых версиях оптимизация включена и это приводит к невозможности работы с внутренними сигналами. Поэтому для решения Вашей проблемы достаточно запретить оптимизацию с помощью ключей командной строки, либо в modelsim.ini.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И вот этот самы счетчик нельзя вывести на WAVE-диаграмму, это что такой прикол новый?

Причем в описании DO-файла:

add wave -noupdate -format Logic -radix hexadecimal /C_DEFRM_SERSA/R_cnt_bit

ModelSim ругается:

# ** Error: (vish-4014) No objects found matching '/C_DEFRM_SERSA/R_cnt_bit'.

vlog -nodebug по умолчанию?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

поставил в modelsim.ini

NoDebug = 1

теперь он на это не ругается, далее ругнулся так:

# ** Error: (vlog-7) Failed to open design unit file "L:/COMPONENT/INTERFACE/C_DEFRM/C_DEFRM_SERSA.v" in read mode.
# No such file or directory. (errno = ENOENT)
# ** Error: C:/QuestaSim/win32/vlog failed.
# Error in macro L:\COMPONENT\INTERFACE\C_DEFRM\SERSA\SERSA.do line 25

причем файл C_DEFRM_SERSA.v присутствует, в DO-файле line 25:

vlog L:/COMPONENT/INTERFACE/C_DEFRM/C_DEFRM_SERSA.v

делаю все в QuestaSim 6.2e

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В общем это был временный глюк, а так после NoDebug = 1

ругань вот какая, что это может быть?

# Top level modules:
#     C_DEFRM_SERSA
# vsim -L unisims_ver -lib work -t 1ps +maxdelays C_DEFRM_SERSA glbl 
# ** Note: (vsim-3813) Design is being optimized due to module recompilation...
# Loading work.C_DEFRM_SERSA(fast)
# Loading C:\QuestaSim\win32/../xilinx/verilog/unisims_ver.SRL16E(fast)
# Loading work.glbl(fast)
# ** Error: (vsim-3067) Debug module 'C:\QuestaSim\win32/../xilinx/verilog/unisims_ver.SRL16E(fast)' called from nondebug module 'work.C_DEFRM_SERSA(fast)'.
#         Region: /C_DEFRM_SERSA

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, напишите, какие настройки необходимо добавить, чтобы можно было работать совместно с NoDebug = 1 работали так же библиотеки Xilinx?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Господа, кто нашел выход по теме?

Я сейчас описываю в выходные сигналы всё, что необходимо мне для отладки модулей, но это ведь очень не удобно! К тому же параметры он тоже не выводит в своем окне "SIM", и параметры тоже приходиться через выходные сигналы объявлять, очень гемморойная система стала!

Кто нашел более мудрое решение, чем это? :-)

Кто общается с Mentor разработчиками, попросите их думать о пользователях, прежде чем вносить "усовершенствования" в ПО. :-)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уважаемый MAZZI,

прежде чем катить бочку на менторовцев (которых надо горячо любить хотя бы за то, что они не переходят на новые версии флекслм), разберитесь сами с:

- опцией voptflow

- опцией nodebug

а так же используйте log -r/*

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уважаемый MAZZI,

прежде чем катить бочку на менторовцев (которых надо горячо любить хотя бы за то, что они не переходят на новые версии флекслм), разберитесь сами с:

- опцией voptflow

- опцией nodebug

а так же используйте log -r/*

 

Gate, спасибо большое за твой ответ!

Поверь мне, я менторовцев очень люблю за то, что с помошью их программы я успешно решаю задачи разработки и моделирования, и вближайшее время последую твоим советом по настройке. Обрати и на такой результат, что после моего сообщения, ты дал мне идеи для решения. :)

Как ты считаешь, если бы существовал FAQ у ментора, в котором решение данной ситуации уже описывалось, то пользователям ModelSim (QuestaSim) было бы легче работать с их продуктом?

Сейчас для меня результат такой, что я трачу время на поиск решения, при том что у меня есть более приоритетные и важные задачи, и поэтому я считаю, что менторовская команда работает плохо.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Надо просто внимательнее смотреть Welcome Menu, которое отключается сознательно и вручную. Там написано:

* * * * * * * * * * * * * * * * * * * * * * *

 

Access comprehensive Questa documentation:

Select Help > Help & Manuals

 

* * * * * * * * * * * * * * * * * * * * * * *

 

The automatic invocation of the design-wide performance optimization tool "vopt" has been enabled.

 

The optimization step will improve run time performance and affect the visibility of objects in the design. To enable debug visibility it is recommended you add additional options to vopt on the vsim invocation via the -voptargs argument. For example:

 

vsim -voptargs="+acc"

 

which enables full debug access to objects in the optimized design. To understand the full usage of +acc and -voptargs, please refer to the following chapter in the User's Manual:

 

"Optimizing Designs with vopt"

 

If required, you may obtain the previous behavior of vsim, where it does not perform performance optimizations, by setting the modelsim.ini variable "VoptFlow" to 0 (zero).

 

Они честно предупреждали. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

УРА!!! Да здравствует все кто мне помог! (maks в особенности :) )

В общем какой результат:

1) вначале в modelsim.ini я правил параметр VoptFlow = 0, но это привело к тому, что при запуске DO-файла, QuestaSim вылетал, вернул все назад как было

 

2) помогла простая запись:

vsim -voptargs="+acc" -t 1ps +maxdelays -L unisims_ver -lib work MUX3_240_UNIBAP2_FPGA1_TB glbl

 

и сразу все обернулось в замечательный вид и удобство отладки :)

всем спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...