Перейти к содержанию
    

Желающим российских ASICов

Для тех, кто может оценить ее уникальность по картинке низкого качества, прикладываю изображение топологии одной из ячеек.

Правда, не похоже на обычные "палки"?

 

Там два металла в топологии ячеек что-ли заюзано? Или это мне так показалось? Сколько вообще металлов в технологии? Как эти ячейки располагаются при place-route? Вплотную с разводкой над ними, или с каналами для разводки меж них?

 

Есть ли .plib/.pdb либа для синтеза в topographical mode у синопсиса?

 

Металла 2, поли 1.

Вертикальная трассировка М2, горизонтальная М1, с каналами.

plib/.pdb нет.

 

А у Вас есть опыт трассировки без каналов со всего 2-мя металлами?

Поделитесь вкрадце?

В Синопсис мы свою либу не переводили - никак не хватает времени.

Текучка "грызет".

 

2 sazh:

Не надо пулеметов, спасибо, есть свои....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Металла 2, поли 1.

Вертикальная трассировка М2, горизонтальная М1, с каналами.

 

А у Вас есть опыт трассировки без каналов со всего 2-мя металлами?

Поделитесь вкрадце?

 

Нет, нет такого опыта. Я же не знал, что там 2 металла. Думал что три. А в трех вожу без каналов (точнее не я, а Astro водит). Правда и внутри ячеек не задействовано ничего кроме поли и первого металла.

 

plib/.pdb нет.

В Синопсис мы свою либу не переводили - никак не хватает времени.

Текучка "грызет".

 

А LEF (физический, который с блокейджами и пинами)? Из него plib (а еще лучше милкивэйная база с FRAM/TIM/PWR view) на раз делается.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На этой неделе начну ставить новые пакеты, посмотрю.

Все требует изучения, времени....

А эту либу мы для своих нужд пользуем (так и далее, похоже, будет).

В простом варианте можно трассироваться в Таннере.

Есть опыт "ужимания" каналов вручную (по разумным кускам топологии, естественно). Иногда даже в ноль. Жаль, что у компов пока мозгов на такое не хватает. Хорошо бы встретить "мощного" программера-алгоритмиста. А то вот есть задумка свой трассировщик для БМК слепить, а "мощи" не хватает.

 

От разводки поликремнием в "нижнем" слое сознательно отказались.

Дело в том, что в России поли без силицидов, то есть пов.сопр. около 25 Ом на квадрат, в отличии от "буржуинских" процессов, где оно около 4 Ом на квадрат. Динамика.

Не понятны мне наши технологи. Ведь это не такая сложная процедура...

Также, непонятно отсутствие металлов более 2. Ведь уже на втором проблемы планаризации как-то решились. Что мешает сделать третий - не знаю...

 

Удачи всем!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Также, непонятно отсутствие металлов более 2. Ведь уже на втором проблемы планаризации как-то решились. Что мешает сделать третий - не знаю...

Наверное... Отсутсвие планаризации :) Двухметалловые технологии без нее существуют в изобилии.

 

Зы - про БМК трассировщик - а чем Astro / Astro GA плохи? Или есть какие-то свои ноу-хау, которые реализовать хочется?

 

ЗЗы - насчет "так и далее будет" - очень возможно. На сколько я себе представляю рынок, стойкие дела вещь очень редко востребованная. Зато если востребуют - стоит это дорого.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да этот трассировщик должен в М2 только разводить, используя заложенные в М1 возможности "нырять". То есть, жестко специфицированные требования к разводке. То, что это реально - нет сомнений, по крайней мере вручную я делаю что угодно на этой матрице.

Насчет Astro пока не знаю - надо пробовать.

 

Смысл такой - делается заготовка-полуфабрикат на "крутом" предприятии.

Получаем пластины, покрытые М2. И на относительно "грубом" производстве, сделав фотолитографию по М2 получаем нужные чипы. Когда нужно и сколько нужно в кратчайшие сроки (само "допроизводство" - пара дней). Просто и эффективно.

Дело за "умным" трассером. Вручную много не "наковыряешь".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

надо пробовать. В принципе - нарисовать в либе блокейджи в M1 где нельзя нырять (причем это само скриптом из топологии делается при изготовлении либы), и разрешить водить где хочет и как хочет. Должен наверное сообразить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ДИКО ИЗВИНЯЮСЬ, в самой первой своей реплике я приложил не совсем тот файл SYN для Леонардо.

Приложенный файл предназначался для крайне тяжелого случая трассировки и из-за этого

демонстрирует при синтезе динамику схем примерно в 30 раз хуже.

Испраляюсь здесь. В этом варианте есть также ПАДы общего назначения.

 

Для интересовавшихся этим вопросом людей провел простенький синтез 8-ми разрядного счетчика:

___________________________________

library ieee;

use ieee. std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

 

entity counter8 is

port ( CLK : in std_logic;

RST : in std_logic;

CNT : out std_logic_vector(7 downto 0)

);

end;

 

architecture counter8_IO of counter8 is

signal counter: std_logic_vector(7 downto 0);

begin

process (CLK, RST)

begin

if ( CLK'event and CLK='1') then

counter <= counter + '1';

end if;

if(RST='1') then

counter<="00000000";

end if;

_________________________________

Результат 270 МГц тактовой.

Здесь нет учета паразитов трассировки вообще. С учетом,- надо снизить результат где-то с коэффициентом 0.8-0.9

 

Аналогичная ситуация с lib -файлом. В нем надо "закомментить" wire параметры в "шапке".

Синтезаторы никогда не могут знать точных длин трасс.

Поэтому, приходится иногда экспериментировать.

PADs_A_new.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Синтезаторы никогда не могут знать точных длин трасс.

Поэтому, приходится иногда экспериментировать.

 

А вот для того, чтобы меньше экспериментировать, и нужны plib/pdb. Свежие синопсисы в процессе синтеза пускаю оценочный place/route, оценивают трассы с учетом реальных паразитов, считают задержки, и на основе этого оптимизируют. Но плейс-раут пускают только внутри, для оценки, никаких результатов этого плейс-раута наружу не выходит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да я уже давно (по Вашим рекомендациям) "заочно люблю" Astro. Но вот только все никак не доберусь поставить и освоить. Кстати, будьте добры, напишите какой там пакет лучше ставить на сегодняшний момент. А то, на фтп целая "каша" из синопов. Как обычно, надо все и побольше. Можно в ПМ. И совсем прекрасно, если "ткнете носом" какое к этому слабительное подходит. А я завтра и начну. <img>

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да.., топология библиотечного элемента действительно эксклюзив.

Для интересовавшихся этим вопросом людей провел простенький синтез 8-ми разрядного счетчика:

Результат 270 МГц тактовой.

Правда вызывает сомнения то, что вы правильно расчитали задержки для элементов (уж очень большие стоки, если я правильно разобрал), т.к. 1/270 МГц = 3.7 нс на задержку распространения на триггер + 8 разрядный сумматор с параллельным переносом - это круто для наших микронных технологий.

Вы писали:

Мы заканчиваем стойкий PIC16 - частота около 50МГц.
наверно, уже закончили - и какой результат, если не секрет?

 

Интересно посмотреть на топологию D-триггера со сбросом? если не секрет, конечно...

 

А какой программой разводите топологию? AutoCell не используете от ментора?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да.., топология библиотечного элемента действительно эксклюзив.

Правда вызывает сомнения то, что вы правильно расчитали задержки для элементов (уж очень большие стоки, если я правильно разобрал), т.к. 1/270 МГц = 3.7 нс на задержку распространения на триггер + 8 разрядный сумматор с параллельным переносом - это круто для наших микронных технологий.

Это не круто. Триггер примерно 1 нс, инвертор около 0.25 нс. Типично для 1 мкм техпроцесса. Остальное добавляет трассировка.

 

Вы писали:

наверно, уже закончили - и какой результат, если не секрет?

Почти. Последние "штрихи" наносятся, верификация чипом идет. Дело в том, что мы были чрезмерно оптимистичны, когда начинали. Думали, что это действительно нужная штуковина. Но, за это время никто не проявил реального интереса ни в качестве заказчика, ни потребителя. Так и "молотили" в фоновом режиме, за свой счет. Просто жалко бросать, много усилий и времени затрачено. Через месяц-два, может, и запустим в производство.

Интересно посмотреть на топологию D-триггера со сбросом? если не секрет, конечно...
В каком виде? В принципе, топология такой библиотеки не распространяется, конечно.

А какой программой разводите топологию? AutoCell не используете от ментора?
Нет, AutoCell не пользуемся. Разводить можно любой, но всегда необходима настройка либы под конкретный трассировщик.

В самом простом случае (для блоков МК, например) мы вообще поступали весьма "хмуро" - предварительная трассировка модуля в Tanner и последующая ручная "утрамбовка" каналов.

Так как в РФ только 2 металла. Для таких производств плотнее не сделать уже никак и ни в каком другом "волшебном" трассировщике.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Почти. Последние "штрихи" наносятся, верификация чипом идет. Дело в том, что мы были чрезмерно оптимистичны, когда начинали.

 

А программное обеспечение для него кто пишет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А программное обеспечение для него кто пишет?
Уже написано :rolleyes: фирмой "Майкрочип". Совместимость максимальная.

Программатор USB (так как он специфичен для нашего МК) будем делать пока чип "выпекается". Это не долгая "песня". А вот последующая верификация и испытания в "реале" потребует сил, конечно. Но, если будет получаться, как планировалось, то это будет проходить бодро и с хорошим настроением. :rolleyes:

 

Упреждая критику. Мы не претендуем в данном случае на высокую функциональность, такой МК относительно современных "зверюг" - игрушка. Наш поинт на высокую стойкость и надежность.

Эдакий "мозжечёк" для управления "форточками" на КА, освающего "звездные дали". :rolleyes:

Вера, что это кому-нибудь нужно, еще есть небольшая....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Уже написано :rolleyes: фирмой "Майкрочип". Совместимость максимальная.

 

Извините за настойчивость.

Кто и чем будет гарантировать эту совместимость.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кто и чем будет гарантировать эту совместимость.
Зачем её гарантировать? Достаточно документации по программированию. Если использование в ответственной аппаратуре, то, как обычно, - такой гарантией и критериями верности работы могут быть только реальные измерения и испытания.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...