Перейти к содержанию
    

а есть ли что-либо синтезирующее SystemC или транслирующее SystemC-HDL?

собственно хочется транслировать RTL описание на SystemC в более близкую к железу форму...

 

есть ли такие тулзы, есть ли опыт их пользования??

все интересно

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

по вопросу не подскажу, но вот интересно: вам надо из-за того что у вам исходники уже есть на системС?

просто интересно к чему присматриваться?

хочу понять что перспективней: SystemC vs SystemVerilog

 

PS: но можно где-нить пока еще нарыть синопсисовский CoCentric SystemC Compiler

или менторовский Precision C synthesis - но он, насколько я понял, переводит в RTL только С/С++ описания

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

хочу понять что перспективней: SystemC vs SystemVerilog

 

Вы не одиноки в этой задаче. Думаю этот выбор скорее должен определяться вашим бакграундом и целями.

 

CoCentric SystemC Compiler имеется на фтп, впрочем как Precision.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

to 'cosmobot'

 

ну, basic skils таков: VHDL (сейчас не использую), verilog.

цели: более высокий уровень верификации и написания моделей ради эффективного использования человекоресурсов (ну и в будущем - времени симуляции)

 

самому больше импонирует SystemVerilog, но сомнения гложат: отписал здесь -

ссылка

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

собственно хочется транслировать RTL описание на SystemC в более близкую к железу форму...

 

есть ли такие тулзы, есть ли опыт их пользования??

все интересно

 

Компания Celoxica занимается средствами синтеза такого рода. Опыта использования к сожалению пока нет.

 

По поводу SystemC vs. SystemVerilog, думаю мир разделиться, как это было с VHDL и Verilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Компания Celoxica занимается средствами синтеза такого рода. Опыта использования к сожалению пока нет.

 

По поводу SystemC vs. SystemVerilog, думаю мир разделиться, как это было с VHDL и Verilog.

 

Agility от Celoxica есть на местном ftp. В принципе работает. Однако,

ограничения на синтезируемый код значительно сильнее, чем у Synopsys.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

celoxica и precision насколько я понимаю, это не SystemC , а альтернативные варианты С-like HDL

(ctloxic-у давно c ftp смотрел, а пресижн в каком-то форуме хаяли)

 

Cocentric прикрыли - то есть новые DC не поддерживают compile_systemc (хотя по-моему и RTL и behavioral были весьма неплохие)

сейчас синопсис поддерживает Sys.Verilog в своем бихевирал-синтезе, и как местные представители говорят - системЦ поддерживать не будет

 

по-моему SystemVerilog полный отстой, по причине отсутствия разработчиков системного уровня, которые будут его использовать, а для тестбенчей и асершинов ничего там не добавилось по сравнению с 2001

 

всюду работают с С++ и матлабом и переходить на новое средство (для которого только один производитель коммерческих компиляторов) человек в здравом уме не будет

 

матлаб - тоже коммерческий и один производитель, но системверилогу далеко до него, да и оctave есть для линукса

 

-------------------------------

 

я считаю, что чиста за идеологию отказался синопсис системЦ поддерживать и за это хочется им пожелать, чтобы со своим систем верилогом они обосрались :)

 

----------------------------------

спасибо за наводку Agility (тока с ftp пока все не очень :( ), а слазил на Synopsys - судя по всему в 2002 решили прекратить системЦ и сейчас прямо таки принуждают пользовать всякий маразм http://www.synopsys.com/products/solutions.../languages.html - типа системЦ это только для начального моделирования

Изменено пользователем yes

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

celoxica и precision насколько я понимаю, это не SystemC , а альтернативные варианты С-like HDL

(ctloxic-у давно c ftp смотрел, а пресижн в каком-то форуме хаяли)

 

Cocentric прикрыли - то есть новые DC не поддерживают compile_systemc (хотя по-моему и RTL и behavioral были весьма неплохие)

сейчас синопсис поддерживает Sys.Verilog в своем бихевирал-синтезе, и как местные представители говорят - системЦ поддерживать не будет

 

по-моему SystemVerilog полный отстой, по причине отсутствия разработчиков системного уровня, которые будут его использовать, а для тестбенчей и асершинов ничего там не добавилось по сравнению с 2001

 

всюду работают с С++ и матлабом и переходить на новое средство (для которого только один производитель коммерческих компиляторов) человек в здравом уме не будет

 

матлаб - тоже коммерческий и один производитель, но системверилогу далеко до него, да и оctave есть для линукса

 

-------------------------------

 

я считаю, что чиста за идеологию отказался синопсис системЦ поддерживать и за это хочется им пожелать, чтобы со своим систем верилогом они обосрались :)

 

----------------------------------

спасибо за наводку Agility (тока с ftp пока все не очень :( ), а слазил на Synopsys - судя по всему в 2002 решили прекратить системЦ и сейчас прямо таки принуждают пользовать всякий маразм http://www.synopsys.com/products/solutions.../languages.html - типа системЦ это только для начального моделирования

 

 

Да, жаль системЦ.

И вот еще есть SystemCrafter - http://www.systemcrafter.com

(тоже был на ftp).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вот в еснугах отчеты довольных пользователей ментора катапульт с, который синтезирует ANSI С++ в RTL.

http://www.deepchip.com/items/else06-07.html

Предыдущий пункт еснуга, кстати, о пользователях Форте, которые синтезируют СистемС.

Прогресс, все-таки...

Изменено пользователем id_gene

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

знукомый, который весьма серъезно работает в азикостроении, свалил в США и очень сильно рекомендовал С -> HDL flow

вот я и периодически интересуюсь, а как у нас (про Японию и т.п. не знаю, в еснугах про нее пишут)

 

кстати про катапульт - есть у меня подозрения, что хаяли его как раз там - может ментор подсуетился дал денежку Кулею и он выбирать начал слегка другие отзывы? :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Компания Celoxica занимается средствами синтеза такого рода. Опыта использования к сожалению пока нет.

Нет ли кого-нибудь кто уже работал с Celoxica?

А то столько пишут про нее, а реальных отзывов не видно.

Может вообще нет смысла этим направлением заниматься?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

всюду работают с С++ и матлабом и переходить на новое средство (для которого только один производитель коммерческих компиляторов) человек в здравом уме не будет

...

 

Вы не могли бы подробнее описать design flow "C++ plus matlab"? это только поведенческое моделирование или синтез?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я немного работал с handel-c - много ручного труда, хотя результат может быть впечатляющий. Agility от celoxicа сделано именно для SystemC. К сожалению не имею доступа к последней версии катапульта, но если они сделали то что планировали (потоки/процессы) то мое IMHO, на данный момент это лучшее что есть в природе из untimed c, с небольшим тюнингом в сторону timed. Также интересно было бы взглянуть еще на Calypto SystemC/C/C++, но оно на наших просторах недоступно.

Заниматься имеет смысл, если уже нехватает скорости DSP и времени переводить вручную данный DSP алгоритм в FPGA.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...

всюду работают с С++ и матлабом и переходить на новое средство (для которого только один производитель коммерческих компиляторов) человек в здравом уме не будет

...

 

Вы не могли бы подробнее описать design flow "C++ plus matlab"? это только поведенческое моделирование или синтез?

 

всюду это подразумевалось разработка каких-либо устройств для обработки сигналов -

Матлаб вряд ли поможет для проектирования микропроцессора или периферии

 

обычно начинается с того, что предлагается новый метод обработки, затем теория подтверждается Матлабом, имеющим огромную библиотеку самых разных функций (как правило этим могут заниматься отдельные люди - типа теоретики)

затем это передается к другим людям - типа системщикам, которые пишут на С++ детально алгоритмы, думают, что делать в софте, что в железе и т.д. также С++ используется для обработки каких-то больших объемов данных (Матлаб очень медленный)

затем уже часть С++ кода, который решено реализовать в железе передается HDL-щикам для кодирования (но из-за принципиальной разности реализации С++ и HDL - тут возможна петля через теоретиков, которые разрисовывают HDL алгоритмы)

 

вот приблизительно так, понятно, что бывает теоретик-системщик-хдлщик-программист-монтажник... в одном лице, но в более-менее серъезных конторах стараются разделять

 

идея использовать С++ для синтеза позволяет упростить процедуру (и уволить ХДЛ-щиков :) )

там есть разные уровни описаний - просто С++ кода, транзакций (вот это как раз, мне кажется, для всяких интерфейсов), поведенческий (когда вставляем wait) и RTL

 

я перечислил эти методы по мере роста ограничений на С++ код и его отличий от "обычной" программы,

но в то же время увеличивается предсказуемость полученного результата - то есть RTL код - это тот же HDL, но синтаксис С++ и моделировать можно на gcc

поведенческий - тоже вобщем-то вполне ясное соответствие

 

собственно, я считаю, что этого достаточно (это названо выше timed c - я не очень много читал об этом, поэтому такого термина не встречал)

 

но многие стараются написать "чудо компилятор" (hint: доктору из параллельной конфы надо подсказать идею), который возьмет и сумеет странслировать "обычную" С программу в HDL

я никогда не интересовался такими средствами, так как сильно сомневаюсь в их эффективности - если кто-либо знает - расскажите, любопытно

ув. Harbour назвал два примера - катапульт (mentor) и hendel-C (celoxica), которые по-моему разумению (без опыта использования), как раз и пытаются _почти_ обычный С++/С превратить в HDL (хотя, я минимально посмотрел hendel C - там вроде бы чистый бихевириал)

в любом случае, С++ надо исправлять или писать сразу с учетом HDL, но всякие экзотические диалекты Hendel-C, Catapult (????), System Verilog требуют специальной "исполняющей программы" и отрезают от нормальных С++ библиотек (то есть не дают возможность моделировать СИСТЕМУ в целом)

 

поэтому самое, имхо, правильное SystemC, что позволяет вначале использовать взаимодействие с Матлабом (dll, mex, COM и т.п.), а затем переписать требуемые куски на РТЛ (или на бихевириал), и никаких требований к освоению нового языка - минимальные знания С++ и некоторое объяснение как писать бихевириал (ну или РТЛ) - и легким движением руки С++ программер превращается в разработчика аппаратуры :)

 

надеюсь, Ваше любопытство удовлетворил?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...