Перейти к содержанию
    

USB-to-JTAG шнурок для XILINX

Собственно тема:

В Spartan-3E Starter Kit (HW-SPAR3E-SK-US) реализован JTAG на известном чипе CY7C68013A-100AXC. Прошивка чипа грузится динамически драйвером, при распознании VID & PID, одключеного к USB устройства (VID & PID прописаны в 24LC00-I/ST (Serial EEPROM, 128Bit) также находящуюся на плате).

тут вопросов по технике клонирования нет.

но вот сам FX2 дальше подключается к XC2C256-6VQ100C :(

и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?

.

за второе предположение говорит тот факт что для "просто конвертора" необязательно было ставить такой "жирный" кристалл (тем более на бюджетный КИТ)

за первое: уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?

да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

.

восстановить схему - вопрос свободного времени и усидчивости

а вот как решать вопрос с прошивкой CPLD хотелось бы услышать Ваше мнение.

 

 

_____________

upd: зачем всё это нужно?!

1) интерфейс USB - no comments.

2) поддержка родными САПР

3) самое вкусное: поддержка аппаратной со-симуляции (USB 2.0 рулит!) - s3ekit_jtag_cosim.pdf

Изменено пользователем Doka

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

но вот сам FX2 дальше подключается к XC2C256-6VQ100C :(

и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?

Ну это можно проверить, хотябы поковыряв прошивку FX2...

 

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну это можно проверить, хотябы поковыряв прошивку FX2...

 

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...

 

А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну это можно проверить, хотябы поковыряв прошивку FX2...

 

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...

 

А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....

 

ну отчего же?

аргументы:

1) на фомуре как-то давно такая тема была: сняли прошивку CPLD через USB-сниффер - вполне возможно что производитель и тут предусмотрел возможность апдейта прошивки CPLD .

2) не думаю, что в XILINX работают мегапараноики - если начинка прошивки обеспечивает достаточную степень защиты , то нафига другие меры?!?!

.

есть еще такой вариант: попробовать пропатчить драйвер - тогда CPLD можно нафиг выкинуть

есть тут специалисты подобного профиля?!

драйвер, судя по мануала на КИТ входит в стандартную поставку ISE (WebPack):

Programming via iMPACT
After successfully compiling an FPGA design using the Xilinx development software, the design can be downloaded using the iMPACT programming software and the USB cable. To begin programming, connect the USB cable to the starter kit board and apply power to the board.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собственно тема:

В Spartan-3E Starter Kit (HW-SPAR3E-SK-US) реализован JTAG на известном чипе CY7C68013A-100AXC. Прошивка чипа грузится динамически драйвером, при распознании VID & PID, одключеного к USB устройства (VID & PID прописаны в 24LC00-I/ST (Serial EEPROM, 128Bit) также находящуюся на плате).

тут вопросов по технике клонирования нет.

но вот сам FX2 дальше подключается к XC2C256-6VQ100C :(

и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?

.

за второе предположение говорит тот факт что для "просто конвертора" необязательно было ставить такой "жирный" кристалл (тем более на бюджетный КИТ)

за первое: уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?

да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

.

восстановить схему - вопрос свободного времени и усидчивости

а вот как решать вопрос с прошивкой CPLD хотелось бы услышать Ваше мнение.

 

 

_____________

upd: зачем всё это нужно?!

1) интерфейс USB - no comments.

2) поддержка родными САПР

3) самое вкусное: поддержка аппаратной со-симуляции (USB 2.0 рулит!) - s3ekit_jtag_cosim.pdf

 

http://inisyn.org/src/xup/

 

tam projekt dlja FX2 i CPLD !!

 

 

Antti

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

http://inisyn.org/src/xup/

 

tam projekt dlja FX2 i CPLD !!

 

Antti

 

за ссылку спасибо, но это не совсем то

там описано как элементы, предназначенные для Житага на КИТе использовать с линуховым программатором ПЛИС

соответственно у них свои исходники для FX2 и CPLD

это:

-- prog.vhd -- xc2c256 cpld for usb jtag
--
-- copyright (c) 2006 inisyn research
-- license: LGPL2
--
-- revision history:
-- 2006-05-27 initial
--

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity prog is
    port(
        sys_tck : out std_logic;
        sys_tms : out std_logic;
        sys_tdi : out std_logic;
        sys_tdo : in std_logic;

        cy_tck  : in std_logic;
        cy_tms  : in std_logic;
        cy_tdi  : in std_logic;
        cy_tdo  : out std_logic
    );
end prog;

architecture syn of prog is
begin
    sys_tck <= cy_tck;
    sys_tms <= cy_tms;
    sys_tdi <= cy_tdi;
    cy_tdo <= sys_tdo;
end syn;

ну никак не тянет на описание IP от XILINX

да и сигнальных линий на самой плате между FX2 и CPLD реально гораздо больше разведено.

.

если не нужна привязка к родным САПР, то вообще можно референс-дизайн USBшной части AVNETовского КИТа повторить на своей плате - там вообще FX2 напрямую к JTAG и конфигурационной SPI подоткнута =)

есть схема, исходники прошивки FX2 и прога с исходниками под винды для загрузки плис по житаг и прграммированию SPI-flash.

ЗЫ: кому интересно - могу выложить инф.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ну отчего же?

аргументы:

1) на фомуре как-то давно такая тема была: сняли прошивку CPLD через USB-сниффер - вполне возможно что производитель и тут предусмотрел возможность апдейта прошивки CPLD .

Ну если Xilinx действительно сотворил такую глупость, как апдейт прошивки CPLD по USB, то накой вообще тогда нужна эта CPLD?? тут уже и сам FX2 со всем бы справился....

PS схемы данного деваайса, как я понимаю, еще нет?

2) не думаю, что в XILINX работают мегапараноики - если начинка прошивки обеспечивает достаточную степень защиты , то нафига другие меры?!?!

.

есть еще такой вариант: попробовать пропатчить драйвер - тогда CPLD можно нафиг выкинуть

есть тут специалисты подобного профиля?!

драйвер, судя по мануала на КИТ входит в стандартную поставку ISE (WebPack):

Какие другие меры?? (мегапараноики, кстати, работают в Nokia....)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну это можно проверить, хотябы поковыряв прошивку FX2...

 

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...

 

А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....

 

http://inisyn.org/src/xup/

 

# download xup's pre-built programmer CPLD image

# you only need to do this when installing/upgrading xup,

# or switching between iMPACT and xup

 

???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

http://inisyn.org/src/xup/

 

# download xup's pre-built programmer CPLD image

# you only need to do this when installing/upgrading xup,

# or switching between iMPACT and xup

 

???

 

Тогда в чем же проблема?? Получается, что бери и копируй схему и все....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ну если Xilinx действительно сотворил такую глупость, как апдейт прошивки CPLD по USB, то накой вообще тогда нужна эта CPLD?? тут уже и сам FX2 со всем бы справился....

скорость.. конвертер parallel-to-serial на FX2 не особо шустрый получится - для прошивки это незаметно, а вот при юзании такой фичи, как аппаратная со-симуляция может сыграть большую роль.

 

PS схемы данного деваайса, как я понимаю, еще нет?

есть полный гербер и ВОМ. Прежде чем рисовать с него схему хотелось бы убедиться в целесообразности этого - т.е. решить вопрос с прошивкой CPLD

...впрочем, .. для желающих... вот файлы :

S3E_Starter_gerbers.pdf

S3E_Starter_BOM.pdf

 

Какие другие меры?? (мегапараноики, кстати, работают в Nokia....)

эти:

уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?

да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

 

 

//======================================================//

http://inisyn.org/src/xup/

 

# download xup's pre-built programmer CPLD image

# you only need to do this when installing/upgrading xup,

# or switching between iMPACT and xup

 

???

вот-вот!!!

????? и как ентот switching на практике осуществить?

они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..

неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?

да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

Ну это даже сложно назвать 'мерами защиты'....

 

????? и как ентот switching на практике осуществить?

они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..

неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??

Ничего они не переносили, там написано, что это только Bit-bang JTAG и все...

 

Информация для размышления тут

 

Почему-то Xilinx охраняет именно протокол обмена с этим девайсом, а не сам девайс... Видимо, чтобы нельзя было использовать его в своих целях со своим ПО...

Изменено пользователем -Al-

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?

да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

Ну это даже сложно назвать 'мерами защиты'....

 

????? и как ентот switching на практике осуществить?

они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..

неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??

Ничего они не переносили, там написано, что это только Bit-bang JTAG и все...

 

Информация для размышления тут

 

Почему-то Xilinx охраняет именно протокол обмена с этим девайсом, а не сам девайс...

Писал я этому парню, Laurent но у него пока ничего нет.

А что касается "охраняет", так они все это делают. (удерживаю себя, чтобы не написать "по-жизни").

Значит, задавал вопрос этот, когда приезжал семинар ADI:

"вот у клиента припаян BlackFin и он хочет делать Boundary Scan, причем деньги за железку он уже заплатил. Дайте описание, как добраться до пинов TMS, TCK, и тд. через Ваш драйвер к Вашей железке! " Ответ: "Нет, низачто!!! Эти деньги только нам за нашу железку, а хотите тестировать, заплатите другие деньги другому дяде!!!"

Тоже самое ответил NEC.

Это же и у Ксайлинкса.

Это же и у Альтеры.

 

По поводу CPLD у меня есть только правда догадки (не начем проверить сейчас), что они взяли кабель от LPT порта, в котором есть CPLD, это кажется кабель №4 и к нему сделали переходник USB - LPT.

Потому что чипы FTDI с их драйвером JTAG не могут передавать больше чем 128Кбайт, а это недостаточно для загрузки чипа FPGA, если бы они исправили драйвер, то об этом они бы кричали на каждом углу и передали бы этот драйвер в FTDI. А то, что сделал немец Раш, FTDI почему-то в дело не пускает. Поэтому, если бы делал я, то я бы взял дешевый FTDI и имитировал бы им LPT, а там как раз и есть 2 параллельных порта, один как адрес при работе KGN в режиме ЕРР, а другой как данные. И никаких хлопот с загрузкой. Да и еще это помогло бы в производительности, ткв кабель №4 LPT порт грузит не битами а байтами.

 

Но! как я сказал, мне это не начем проверить....

Если что узнаете, напишите мне.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

но вот сам FX2 дальше подключается к XC2C256-6VQ100C :(

и тут уже очередь спрошных предположений: ...

Выскажу еще одно - возможно КлевыйБегун2 выполняет роль контроллера конфигурации (configuration controller). Нечто подобное встречается и Альтеровских досках. Вот например -

The designer must reconfigure the Stratix II device each time power is

applied to the Stratix II DSP development board. For designers who want

to power up the board and have a design immediately present in the

Stratix II device, the board has a nonvolatile configuration scheme. This

scheme consists of flash memory and a configuration controller (U10),

which is an Altera EPM7256 PLD.

EPM7256ETC144 тоже, кстати говоря, CPLD, 144 ноги.

Изменено пользователем maksya

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Абсолютно точно могу сказать что в USB программаторе прошивка CPLD обнавляется. Когда купили новый шнурок от Xilinx и воткнули, Impact из ISE 7.1 ругнулся на старую версию CPLD, спросил разешения обновить и успешно ее перешил.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...