Перейти к содержанию
    

Начало изучения плис

Буду очень благодарен за любую помощь :help:

Проблема такая: в университете курсовой по теории кодирования (сдавать через 20 дней). Кодек Рида-Соломона, ну, не в этом суть, алгоритм готов. По опыту предыдущих лет все реализовыввают на микросхемах.

Решил попробовать на ПЛИС, за 20 дней думаю только с AHDL смогу немного освоится.

(уровень: полный новичок)

Где можно взять учебник какой-нибудь? по интернету нахожу везде только переведнных help, вроде неплохо, но с нуля трудновато.

Еще очень хочется образцы конкретных устройства, а не отдельных компонентов, т.к. никак не могу понять как соединять отдельные блоки между собой.

Или просто может кто согласен на пару тупых вопросов ответить?

 

Лучший учебник - установить себе АктивХДЛ.

 

Как отдельные блоки (счетчик, дешифратор и тд) создавать, понятно?

Так вот, устройство из этих блоков описать очень просто.

 

Шаг 1.

Создаем новую Entity. Это и будет верх иерархии.

Описываем входы и выходы. Так ваше изделие будет общаться с внешним миром.

 

Шаг 2

Тут описываем сигналы - это будут проводки, которыми все ваши прежде созданные блоки будут соединены между собой.

 

Шаг 3

С программированием на Си знакомы?

Здесь нужно описать ПРОТОТИПЫ ваших блоков.

 

Шаг 4

А вот здесь ВСТАВЛЯЕТЕ ваши блоки причем прямо на них описываете какой вывод блока на какой сигнал (из Шага 2) подключить.

 

Вот и все! Имеете верхний уровень, содержащий ваши блоки внутри и они уже между собой соединены. Это и есть конец работы.

 

 

Я готов безвозмездно поотвечать на ваши вопросы. VHDL only (not Verilog)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не посоветую начинать с AHDL, проблем больше будет, да и если знаешь хотябы pascal/ada или C, то VHDL или Verilog покажутся очень знакомыми :), и начинать будет проще...

 

Могу помочь если разработка идет на VHDL в Active HDL + Xilinx (WebPack)ISE, так как сейчас сам делаю проект в них.

 

Можно в в аську 346967587

 

Удачи!

Изменено пользователем ishergin

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сам я новичек в этом деле. Ни одного проекта по ПЛИС (кроме дипломного) за душой нет. Короче если есть опыт в программировании на Паскале, то проблем с изучением синтаксиса не будет вообще. А насчет среды, так лучше Aldec Active-HDL не найти. Ставишь, пишешь программку какую-нибудь, моделируешь, смотришь waveforms и на душе станеовиться теплее.. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

спасибо за советы, 2 дня ковырял ahdl, сейчас думаю вашими разумными советами воспользоваться.

Качаю activHDL 7.1, правда без крэка.

С паскалем вроде знаком.

Как запущу, сразу сюда с вопросами.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Шаг 3

С программированием на Си знакомы?

Здесь нужно описать ПРОТОТИПЫ ваших блоков.

 

Честно говоря не понял - причем тут Си и что имеется в виду под ПРОТОТИПАМИ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

 

Шаг 3

С программированием на Си знакомы?

Здесь нужно описать ПРОТОТИПЫ ваших блоков.

 

Честно говоря не понял - причем тут Си и что имеется в виду под ПРОТОТИПАМИ?

 

А вот при чем.

 

component IBUFG

port ( I : in std_logic;

O : out std_logic);

end component;

 

component BUFG

port ( I : in std_logic;

O : out std_logic);

end component;

 

Если человек знаком с концепцией прототипа (функции) в Си, то разберется и здесь.

fpga_dcm.txt

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Бррр, какое страшное слово выдумали ПРОТОТИПЫ, постоянно это дело использую и не знал как называется :-)))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...