Перейти к содержанию
    

проблема с pin в VIVADO

Привет

при генерации bitstream в vivado 2019.1 появляется ошибка

Quote

[DRC NSTD-1] Unspecified I/O Standard: 50 out of 115 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: data0_n_out[11:0], data0_p_out[11:0], data1_n_out[11:0], data1_p_out[11:0], clk0_out, and clk1_out.
 

[DRC UCIO-1] Unconstrained Logical Port: 54 out of 115 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: data0_n_out[11:0], data0_p_out[11:0], data1_n_out[11:0], data1_p_out[11:0], clk0_n, clk0_out, clk0_p, clk1_out, clk1_n, and clk1_p.
 

 

во вложении файли описания и xdc файл 

помогите пожалуйста понять что не так

adc_AD9627.vhd dual_adc_AD9627.vhd const.xdc

top_adc_AD9627.vhd

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Как минимум не хватает констрейнтов для цепей data_n_out и data_p_out, а также для o_sclk, o_ss, o_mosi и i_miso.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Судя по названиям это дифф сигналы. А судя по настройкам это обычные пины. Возможно по этому и ругается. 
Нужно на них повесить что то типа LVDS25 или LVDS18

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а разве для диф пар требуется ОБА констрейна  _N и _P ???

На сколь мне помнится требуется указывать токмо для _P и что это дифпара. xilinx  в курсе какие выводы составляют "диф.сигнал"

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 hours ago, Alex77 said:

требуется указывать токмо для _P

Не требуется, а допускается. От того, что вы правильно напишете констрены на оба пина, хуже не будет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...