Перейти к содержанию
    

Чайнику о средствах разработки

Применение каких средств разработки может облегчить жизнь, если цель- синтез под Альтеровское семейство? Сейчас делаю первые шаги в Verilog. Пользуюсь только Квартусом. Что бы такого еще дополнительно применить, чтобы скажем на автоматы времени поменьше тратить. Вижу, что для облегчения участи разработчика на HDL много чего есть, но я потерялся в этом многообразии. Интересует в первую очередь синтез.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Применение каких средств разработки может облегчить жизнь, если цель- синтез под Альтеровское семейство? Сейчас делаю первые шаги в Verilog. Пользуюсь только Квартусом. Что бы такого еще дополнительно применить, чтобы скажем на автоматы времени поменьше тратить. Вижу, что для облегчения участи разработчика на HDL много чего есть, но я потерялся в этом многообразии. Интересует в первую очередь синтез.

Хороший синтезатор - Synplify. Обладает очень ценным, имхо, свойством - показывает сгенеренную схему прямо на конечных ячейках выбранной ПЛИС, что всегда удобно, а для начинающих вдвойне полезно - сразу можно проконтролировать, что там насинтезировалось.

 

Кроме синтеза еще есть важная часть процесса - моделирование. Для этого нужен нормальный симулятор, из коих распространены два: ModelSim и Active-HDL (собсно, этот даже не совсем симулятор - это целая среда). Какой использовать - дело предпочтений. Считается, что Моделсим кандовее, безглючнее, но Актив зато более дружественен в части интрефейса. Я предпочитаю Актив (Моделсим пробовал, не зацепило). Некоторые люди используют оба - небольшие проекты (и части больших проектов) в Активе гонят, а целиком большие проекты - в Моделсиме. Для начинающего я бы рекомендовал Актив. Если освоить основные концепии процесса моделирования, перейти в случае необходимости на другой симулятор труда не составит.

 

Ну, и без Квартуса, ясно, никуда - в нем разводку делать и генерацию выходных файлов.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе тоже есть и RTL и на конкретном кристалле можно посмотреть что и как разведено и при необходимости поправить. Симуляцию тоже в нем делать вполне удобно. Ну, а на счет метода ввода тут кому что ближе и проще для понимания, как вариант схематический ввод, лично мне он ближе, конечно если дело не касается дешифраторов и т.п. то что легче реализовывать таблично.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе тоже есть и RTL и на конкретном кристалле можно посмотреть что и как разведено и при необходимости поправить. Симуляцию тоже в нем делать вполне удобно. Ну, а на счет метода ввода тут кому что ближе и проще для понимания, как вариант схематический ввод, лично мне он ближе, конечно если дело не касается дешифраторов и т.п. то что легче реализовывать таблично.

 

Есть две причины, по которым имеет смысл уйти на поведенческий уровень.

Первая это производительность труда повышается в два. три раза (Перейти на язык из графики Вам труда не составит, ведь главное это знания в схемотехнике)

Вторая заказчик в графике уже ничего не берет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Для начала скачаю-поставлю Synplify.

Еще прочитал у Ментора, что "The design of finite state machines is a key application of the HDL Designer Series™ tools". Есть смысл для удобства и его посмотреть?

 

 

В квартусе тоже есть и RTL и на конкретном кристалле можно посмотреть что и как разведено и при необходимости поправить. Симуляцию тоже в нем делать вполне удобно. Ну, а на счет метода ввода тут кому что ближе и проще для понимания, как вариант схематический ввод, лично мне он ближе, конечно если дело не касается дешифраторов и т.п. то что легче реализовывать таблично.

Так и делаю. Квартус достаточен для всего. Но интересно стало, что есть, чтобы еще удобнее было.

Насчет схемного ввода- я его довольно долго применял, теперь именно от него и ухожу. Пока не жалею. :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе тоже есть и RTL и на конкретном кристалле можно посмотреть что и как разведено и при необходимости поправить.

К сожалению, то, что он показывает, совсем не то. Он, например, показывает ячейки в виде квадратиков и непонятно, какая функция внутри реализована. Можно, конечно, заниматься декодированием LUT_MASK, но это для любителей этого дела (и кому заняться нечем). Синплифай же показывает схемную реализацию - сразу видно, что получается. Несравнимые вещи.

 

Симуляцию тоже в нем делать вполне удобно.

Ага, поробуйте-ка в Квартусе смоделировать схему, в которой ПЛИС качает данные с АЦП, записывает их во внешнюю память, читает их из этой памяти и формирует видеосигнал.

 

Ну, а на счет метода ввода тут кому что ближе и проще для понимания, как вариант схематический ввод, лично мне он ближе, конечно если дело не касается дешифраторов и т.п. то что легче реализовывать таблично.

О преимуществах языка перед схемой сломано уже столько копий, что добавлять ничего не буду. Если Вам хватает схемного ввода и встроенного в Квартус симулятора, значит задачи еще соответствующие не встали. Мне тоже этого хватало не один год, но жизнь поменялась, пришлось освоить более другие средства, адекватные задачам. О чем не жалею.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

О преимуществах языка перед схемой сломано уже столько копий, что добавлять ничего не буду. Если Вам хватает схемного ввода и встроенного в Квартус симулятора, значит задачи еще соответствующие не встали. Мне тоже этого хватало не один год, но жизнь поменялась, пришлось освоить более другие средства, адекватные задачам. О чем не жалею.

Совершенно согласен, если проект огромный то проще систематизировать в тексте, при средних/мелких проектах схематика вполне достаточно. При этом и в том и в другом типе ввода можно реализовать практически всё.

 

to Ruslan1 Так и делаю. Квартус достаточен для всего. Но интересно стало, что есть, чтобы еще удобнее было.

Насчет схемного ввода- я его довольно долго применял, теперь именно от него и ухожу. Пока не жалею.

 

для перехода кстати: то что у вас уже наработано в схематике можно всегда сконвертировать в верилог, AHDL и VHDL, квартус это делает автоматически, в сгенереном файле легче разобраться, как и что реализуется именно в плане обучения.

 

Ага, поробуйте-ка в Квартусе смоделировать схему, в которой ПЛИС качает данные с АЦП, записывает их во внешнюю память, читает их из этой памяти и формирует видеосигнал.

 

Делал что-то подобное, конечно много приходится ручками прописывать и делать виртуальную эмуляцию окружения (той же памяти и АЦП) т.е. делать отдельный проект для симуляции. При этом виртуальные тестовые блоки потом нередко свтраиваются в основную прошивку ПЛИС для самотестирования и нахождения неисправностей в готовом устройстве.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе тоже есть и RTL и на конкретном кристалле можно посмотреть что и как разведено и при необходимости поправить.

К сожалению, то, что он показывает, совсем не то. Он, например, показывает ячейки в виде квадратиков и непонятно, какая функция внутри реализована. Можно, конечно, заниматься декодированием LUT_MASK, но это для любителей этого дела (и кому заняться нечем). Синплифай же показывает схемную реализацию - сразу видно, что получается.

В квартусе есть RTL Viewer, показывает схемную реализацию. А Синплифай чего показывает?

 

Правда, я никак не пойму, нафиг это нужно - смотреть схемную реализацию? Ну, посмотришь какой-то кусочек, подивишься, толку то что? Eсли у меня несколько тыс. лог элементов и регистров в проекте, и сам черт ногу сломит, пока хотя бы разберется, как поддающийся обзору мизерный кусочек схемы соотносится с исходным кодом. А уж выудить потом из этого хоть один бит полезной информации - просто не представляю как...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

TO =AK=

RTL viewer показывает не совсем то.. что в кристалле лежит, он на более высоком уровне чем LUT и то что внутри LUTа. То есть он показывает именно ту схему, которую увидел квартус, без привязки к кристаллу и разводки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

TO =AK=

RTL viewer показывает не совсем то.. что в кристалле лежит, он на более высоком уровне чем LUT и то что внутри LUTа. То есть он показывает именно ту схему, которую увидел квартус, без привязки к кристаллу и разводки.

 

 

но это все равно не упрощает поставленной задачи.

 

у меня в малюсеньком фазовом дескриминаторе такая каша проводов была на 3 экрана и с межстраничными соединениями типа U010203030, что схемная реализация меня забавляла только с познавательной цели, «где сумматоры воткнули…, о а тут мультиплексор оказывается…, ого а я и не думал что так можно…», но не более того… Суть работы была описана в тексте, и уж точно была понятнее и имела куда больше смысла для анализа, чем схема.

 

Я думаю по тексту и симуляции работы можно гораздо больше косяков отловить, чем разглядывая схему и соединения, хотя это может быть мое делитанское мнение, так как еще мало схем разглядывал...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

но это все равно не упрощает поставленной задачи.

у меня в малюсеньком фазовом дескриминаторе такая каша проводов была на 3 экрана и с межстраничными соединениями типа U010203030, что схемная реализация меня забавляла только с познавательной цели, «где сумматоры воткнули…, о а тут мультиплексор оказывается…, ого а я и не думал что так можно…», но не более того… Суть работы была описана в тексте, и уж точно была понятнее и имела куда больше смысла для анализа, чем схема.

Я думаю по тексту и симуляции работы можно гораздо больше косяков отловить, чем разглядывая схему и соединения, хотя это может быть мое делитанское мнение, так как еще мало схем разглядывал...

ИМХО разглядывание схемы имеет смысл, когда идет оптимизация дизайна по скорости либо по количеству ячеек. Т.е. если, скажем, Квартус неоптимально реализовал - каую-то конструкцию - можно это увидеть и переписать так, чтобы он все правильно понял :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А какой из закормов Synplify взять, чтобы работал и не нужно было искать к нему ничего дополнительно? Уж очень их много, да и пузатые они- с моим инетом как раз к вечеру солью какой-нибудь один (сейчас HDL_designer тяну, кстати к нему примочек никаких не понадобится искать?).

Естессно, версия под винду интересует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В квартусе есть RTL Viewer, показывает схемную реализацию. А Синплифай чего показывает?

Синплифай показывает схему внутри ячейки.

 

Правда, я никак не пойму, нафиг это нужно - смотреть схемную реализацию? Ну, посмотришь какой-то кусочек, подивишься, толку то что? Eсли у меня несколько тыс. лог элементов и регистров в проекте, и сам черт ногу сломит, пока хотя бы разберется, как поддающийся обзору мизерный кусочек схемы соотносится с исходным кодом. А уж выудить потом из этого хоть один бит полезной информации - просто не представляю как...

Ситуация ровно та же, что с листингом программы. Компилятор нагенерил гору кода, кому интересно во всем нем копаться? И не надо копаться - идешь и смотришь конкретное место, которое интересует - десяток-другой строк кода из файла листинга вполне можно осилить. Бывает, что и баги обнаруживаются. Так и с этой схемой после генерации - это как листинг после кодогенерации компилятора. И не надо там ВСЕ смотреть, достаточно найти интересующий фрагмент, который, как правило, небольшой и реализация (примерная) которого понятна.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а можно и мне вопрос по теме, хотя и не о " ...синтез под Альтеровское семейство ..." , но тоже синтез ...

 

конкретно - семейство Cypress CPLDs-FPGA (CY37256P160-256;

CY39100V256B; CY37128P160 ...) по форуму порыскал, однако ничего близкого не нашел (если плохо искал - ткните в ссылочку на информацию пожалуйста)

 

каким инструментом посоветуете пользоваться для синтеза и симуляции этого семейства, что изучать и осваивать ?

 

пакетов насобирал несколько и, как "буриданов осел" теперь перед ними ...

с PSoC вроде понятно как работать, а с ПЛИС еще не доводилось

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

пакетов насобирал несколько и, как "буриданов осел" теперь перед ними ...

с PSoC вроде понятно как работать, а с ПЛИС еще не доводилось

 

Каких пакетов, если не секрет?

Тоже очень интересует среда разработки под эти ПЛИС,

конкретно серии CY37064 - CY37256, подскажите пожалуйста, очень надо.

На сайте Cypress ничего кроме софта для заливки программы не нашол...

 

P.S. Прошу прощения что поднял тему, не глянул на дату последнего поста......

Изменено пользователем Left Radio

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...