Перейти к содержанию
    

Создание проекта в TCL под конкретную плату

Есть вот такая плата: CameraLink Vision Kit

В описании есть ссылка на GitHub на проект для VIVADO 2019.1. Но самого проекта нет, есть только файл TCL. При его запуске, платформа пытается его создать. Но проблема в том, что в моем дистрибутиве нет такой платы и создание проекта завершается ошибкой. Пробовал подсунуть похожую плату, но результат тот же.

Как поступают в подобном случае профи, протестующие против размещения в репозитории файлов проекта и использующие TCL, CMAKE и пр.?

Я всего-то хотел глянуть что там в этом проекте, но даже файла BD нет, видимо он также создается и на тикле добавляются в него компоненты и описываются связи между ними.

Или может есть у кого полный дистрибутив, включая описание данной платы и он согласится просто создать проект и завернуть в архив?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В вашем дистрибутиве, это где? В виваде? Посмотрите на предмет импорта описания платы в виваде. Описание Вашего кита почти наверняка можно добавить

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 minutes ago, Strob said:

В вашем дистрибутиве, это где? В виваде? Посмотрите на предмет импорта описания платы в виваде. Описание Вашего кита почти наверняка можно добавить

Я хотел модернизировать уже установленную VIVADO, добавив платы. Просит логин и бреет по нему, т.к. санкции. Сейчас качаю полный дистрибутив, чтобы заново поставить offline. Но смущает другое. У меня есть примерно 6 плат в VIVADO 2019, чуть больше в 2022, но помнится их было гораздо больше. А что если такой платы все же не будет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Переустановил заново VIVADO 2019.1, выбрав все, что он позволил выбрать. Все равно пишет: "ERROR: [Board 49-71] The board_part definition was not found for em.avnet.com:microzed_7020:part0:1.2. The project's board_part property was not set, but the project's part property was set to xc7z020clg400-1. Valid board_part values can be retrieved with the 'get_board_parts' Tcl command. Check if board.repoPaths parameter is set and the board_part is installed from the tcl app store."

Т.е. такой платы в стандартной поставке нет?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Подскажите пожалуйста как этому товарищу по имени VIVADO указать параметры?

image.thumb.png.dfba9a743382004a4de87504024e4942.png

Он вроде бы подсказку выводит даже:

puts "$script_file -tclargs \[--project_name <name>\]"

Но и так не получается

image.thumb.png.1f311b6f53ce2d56410c4795cb2d3267.png

Как это правильно написать? Имя проекта задать "temp", а в качестве текущего каталога указать ".".

Просто он мне выдает ошибку, что не может найти файл, показывая путь в домашнюю директорию.

Хотя это возможно из-за:

# set_property -name "board_part_repo_paths" -value "/home/Xilinx/vivado-boards-master" -objects $obj
WARNING: [Board 49-91] Board repository path '/home/Xilinx/vivado-boards-master' does not exist, it will not be used to search board files.

В самом скрипте я подменил ему название платы и чипа (на ту, что вивада позволяет мне выбрать):

image.thumb.png.a5b2f5947e6dbb5e6319392fe6989269.png

create_project ${_xil_proj_name_} ./project/${_xil_proj_name_} -part xc7z020clg484-1 -force
set obj [current_project]
set_property -name "board_part" -value "EM.AVNET.COM:ZED:PART0:1.4" -objects $obj

но вот далее идет:

set_property -name "board_part_repo_paths" -value "/home/Xilinx/vivado-boards-master" -objects $obj

по идее, раз плата указана имеющаяся у меня в системе, она то должна быть описана. В общем, не понятно пока, как это работает.

Изменено пользователем Vadim_nsk

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А в каком документе описан процесс создания и описания проекта на тикле?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

20 minutes ago, Strob said:

Качайте отсюда https://github.com/Avnet/bdf 

em.avnet.com:microzed_7020:part0:1.2 там вроде есть

Спасибо, скачал

А как правильно указать параметры для запуска скрипта тикля?

image.thumb.png.0c28f409d0ab4d2d0fd5f450fe0ed3fc.png

ну, не нужен мне проект с таким путём

Он потом ругаться будет, что не нашел исходники, а ищет он их по относительным путям. Конечно же их нет там, где он создает проект.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Попробовал из консоли TCL, тоже ничего не выходит:

pwd
D:/Users/Vadim/Documents/projects/github/CameraLinkVisionKit_microZED/cameralink_rx/project
visionkit_rx_prj_yv_v19_1.tcl --help
invalid command name "visionkit_rx_prj_yv_v19_1.tcl"

Везде статьи о том как писать скрипты на TCL и как запустить скрипт из графического интерфейса без параметров. А как запустить его из консоли или с GUI, но с параметрами не могу найти. Подскажите пожалуйста кто знает...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

27 minutes ago, Vadim_nsk said:

А как правильно указать параметры для запуска скрипта тикля?

https://support.xilinx.com/s/article/56501?language=en_US

Я не совсем понимаю вопрос. Вы создаете свой проект, или пытаетесь собрать проект из репы к Вашей плате? Если просто собрать, то добавьте плату в виваду по инструкции в https://github.com/Avnet/bdf и запустите sh скрипт, как указано в readme из репы с проектом.

6 minutes ago, Vadim_nsk said:
visionkit_rx_prj_yv_v19_1.tcl --help

source visionkit_rx_prj_yv_v19_1.tcl

Это если Вы из консоли в GUI вивады запускаете

Изменено пользователем Strob

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но у меня вопрос не по теме, но по FPGA. Может лучше отдельный топик создать?

Заметил такую вещь. В борде, под которую создан проект стоит чип xc7z020clg400-1, в котором нет сериалайзеров, они ведь только в чипах x15, x30, x45 и т.д. Но по сигналам видно, что применяются сериалайзеры и сигнал восстанавливается по опорной частоте и по 4-м фазам 0, 90, 180, 270 градусов. Я полагал, что в простых FPGA стоят два триггера, работающие по двум фронтам как в 6-м семействе. Я не прав?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По теме топика: актуальная версия микрозеда сейчас 0.1.3.
Сам столкнулся с такой же проблемой, однако Vivado просто дала обновить репозиторий плат и в проекте тоже поменял на 0.1.3, никаких проблем не возникло более.
Но эта плата лежит в репозитории для версии вивады 2020.2

https://github.com/Xilinx/XilinxBoardStore/tree/2020.2/boards/Avnet

В принципе можно попробовать и ручками скачать все необходимые файлы и подсунуть виваде. У меня такой фокус с другими платами тоже прокатывал.
(в других ветках могут быть другие платы)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...