Перейти к содержанию
    

Запуск симуляции в Vivado через консоль

Здравствуйте! Подскажите пожалуйста, возможно ли реализовать запуск симуляции в Vivado ( не имеет значения версия) через консоль? Именно симуляции в интернете я нашел, что функция запуска симуляции через tcl launch_simulation. Собственно, можно ли реализовать запуск этой команды через cmd? Спасибо!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прежде всего, очень прошу изменить название темы на более информативное, типа "Запуск симуляции в Vivado через консоль". Правила именования тем не случайно очень похожи на многих ресурсах и форумах, за такие названия могут покарать

 

Далее, Vivado действительно можно запускать в консольном режиме: vivado –mode tcl –source my_script.tcl и таким образом запускать из bat/bash файлов, предварительно вызвав там settings32/64 скрипт, который настроит переменные окружения. Сам я так прошивку из TCL скриптов запускаю на удаленных компьютерах с Vivado Lab Edition

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 9/2/2022 at 9:58 AM, Varenik711 said:

Здравствуйте! Подскажите пожалуйста, возможно ли реализовать запуск симуляции в Vivado ( не имеет значения версия) через консоль? Именно симуляции в интернете я нашел, что функция запуска симуляции через tcl launch_simulation. Собственно, можно ли реализовать запуск этой команды через cmd? Спасибо!

https://docs.xilinx.com/r/2021.1-English/ug900-vivado-logic-simulation/Running-the-Vivado-Simulator-in-Batch-Mode

 

https://support.xilinx.com/s/article/63986?language=en_US

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я еще запускал XSIM из состава вивады напрямую.

Пример Makefile:
 

TEST_PATH=../test_src

TOP_NAME=${TEST_NAME}_top
TOP_FILE=${TEST_PATH}/${TEST_NAME}_top.v

all:
	xvlog -work work --relax --sv ${TOP_FILE} ${SRC_FILES} ${TEST_FILES}
	xelab --relax -L work -L xpm --debug all ${TOP_NAME} glbl -s ${TOP_NAME}
	xsim ${TOP_NAME} --runall

noxsim:
	xvlog -work work --relax --sv ${TOP_FILE} ${SRC_FILES} ${TEST_FILES}
	xelab --relax -L work -L xpm --debug all ${TOP_NAME} glbl -s ${TOP_NAME}

sim:
	xsim ${TOP_NAME}

gtkw:
	gtkwave ${TEST_NAME}.vcd -a ${TEST_NAME}.gtkw &

clean:
	rm -rf *.jou *.log *.pb *.wdb *.vcd xsim.dir

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В 02.09.2022 в 09:58, Varenik711 сказал:

возможно ли реализовать запуск симуляции в Vivado ( не имеет значения версия) через консоль

Ты повторил мои скрипты запуска симуляции в Questa, чего я ждал от тебя месяца три+ и так и не дождался?
Повторил бы - дал бы эти скрипты, что сейчас ищешь.
И так - мартышкин труд и тупое списывание.

Как лицо современного студенчества ты не очень-то представителен.

А пока что ответ на твой вопрос: возможно. Ищи. Я искал и  нашёл.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...