Перейти к содержанию
    

struct, enum в $dumpvars (), modelsim

Форумчане привет.

1) При симуляции получаю vcd файл через вызов $dumpvars

initial begin
	$dumpvars (1, instance)
end

2) потом конвертирую его в wlf

vcd2wlf dump.vcd dump.wlf

3) Подгружаю его в моделсиме

OpenFile dump.wlf

По итоге теряю все плюшки SystemVerilog, а именно стуктурки, перечисления в сигналах. Просто выводит мне шины.

 

Может кто сталкивался, как бы мне его упросить сохранять их или быть может кто знает, может как нибудь через tcl можно бы было заммапить структуры на эти шины, как enum в -radix

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 hours ago, new123 said:

2) потом конвертирую его в wlf

vcd2wlf dump.vcd dump.wlf

 

.vcd файлы УЖЕ не хранят информацию о enum и т.д. Если уж смотрите в модельсиме, почему бы сразу не отлаживаться в модельсим?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, nice_vladi said:

.vcd файлы УЖЕ не хранят информацию о enum и т.д. Если уж смотрите в модельсиме, почему бы сразу не отлаживаться в модельсим?

в моделсиме я уже только подсматриваю ) а всю симуляцию я перевожу на тестовые окружения на других языках.

пока вывернулся, что по струткурам накатал некий конвертер, который создает add wave c -label и векторами

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 8/13/2022 at 1:01 PM, new123 said:

в моделсиме я уже только подсматриваю ) а всю симуляцию я перевожу на тестовые окружения на других языках.

пока вывернулся, что по струткурам накатал некий конвертер, который создает add wave c -label и векторами

Ну так, за убеждения надо страдать xDD

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...