Перейти к содержанию
    

Что означает "linkage" в VHDL?

Вот здесь написано, что:
linkage: The value of the port may be read or updated, but only by appearing as an actual corresponding to an interface object of mode linkage.

Правильно ли я понимаю, что это такой тип вывода, который может быть соединён только с выводом типа "linkage" же?
В каких случаях данный тип может применяться?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Насколько я знаю, linkage был предназначен для связи vhdl модели с "внешним миром", но я ни разу не сталкивался с тем, чтоб его использовали.

Он подключается к чему-нибудь за пределами vhdl ( типа того, как используется fli).

Изменено пользователем Viktuar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...