Перейти к содержанию
    

Странное поведение Cyclone 4

Доброго времени суток. На днях приобрел отладочную плату RZ-easyFPGA A2.2 с кристаллом Cyclone 4 (ep4ce6e22c8n). Начав тестировать вводы-выводы элементарными программами, столкнулся с тем, что плата воспринимает элемент И как ИЛИ и наоборот. С чем это может быть связано? Спасибо. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 minutes ago, AlexSailor said:

Доброго времени суток. На днях приобрел отладочную плату RZ-easyFPGA A2.2 с кристаллом Cyclone 4 (ep4ce6e22c8n). Начав тестировать вводы-выводы элементарными программами, столкнулся с тем, что плата воспринимает элемент И как ИЛИ и наоборот. С чем это может быть связано? Спасибо. 

инверсная логика. Если проинвертировать все входы и выходы элемента И он становится ИЛИ

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 minutes ago, k155la3 said:

инверсная логика. Если проинвертировать все входы и выходы элемента И он становится ИЛИ

Возможно ли как-то на уровне квартуса задать то, что логика инверсная, чтобы писать обычным кодом? 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 minutes ago, AlexSailor said:

Возможно ли как-то на уровне квартуса задать то, что логика инверсная, чтобы писать обычным кодом? 

"Ни-в-зуб ногой". Не спец.  Я Вам задал направление, в каком, возможно, надо искать причину по приведенным "симптомам". Как в Quartus, так и в том, чем выполняется тестирование. См настройки-опции итд итп RTFM etc

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, AlexSailor сказал:

плата воспринимает элемент И как ИЛИ

Скачайте схему на свою отладочную плату и посмотрите какие уровни формируются при тех или иных действиях.
К примеру, если Вы запрограммируете логический элемент между KEY1, KEY2 и светодиодом LED1, то он будет загораться при нажатии кнопки S1 ИЛИ S2.
Собственно, о чем и написал k155la3 - инверсная логика.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возникает вопрос, как тогда проектировать универсальные схемы, если под эту плату надо все базовые элементы переписать под инверсную логику. А при прошивке другой платы окажется, что какое-нибудь устройство уже работает неадекватно из-за того, что оно использует прямую логику. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

30 минут назад, AlexSailor сказал:

как тогда проектировать универсальные схемы

А что Вы понимаете  под "универсальные схемы"?
Все, что вы запроектируете на этой отладочной плате, будет также работать на другой, точно такой же, плате.
Да и, инверсная логика у Вас возникает не на уровне ядра (FPGA микросхемы), а на уровне периферийных компонентов: переключателей/индикаторов.

Изменено пользователем Ascetic

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Понимаю то, что проект созданный на одной плате, вышеупомянутый Cyclone 4, к примерц, сможет без изменений работать и на другой плате. DE10, к примеру. После смены разве что распиновки, разумеется. 

А на данный момент получается, что на какой-нибудь счетчик надо проектировать элементы OR, подразумевая AND и так далее, а при переезде на другую плату возвращать всë на свои места, т.е. переписывать весь проект. 

Есть ли какой-то способ на такой плате оформить работу AND как AND? 

Я в этой теме только начинающий, так что заранее извиняюсь за какие-то возможные фундаментальные непонимания. 

Изменено пользователем AlexSailor

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

24 минуты назад, AlexSailor сказал:

т.е. переписывать весь проект

Да ничего переписывать не нужно.
Еще раз. Инверсная логика у Вас возникает только потому, что при нажатии кнопок, на вход FPGA микросхемы подаются логические НУЛИ, и светодиоды также зажигаются логическими НУЛЯМИ.
Ну, право слово, возьмите и выведите входы/выходы FPGA напрямую на гребенку, и перемычками проверьте функциональность - сразу же поймете, что запрограммированная логическая И так и останется логической И.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Рекомендую прочитать две главы искусства схемотехники, раздел цифровые схемы, чтоб разобраться в вопросе. 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Код у Вас нормальный по идее. И есть И. ИЛИ возникает на плате из-за ее периферии. Об этом Вам и написали ранее.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...