Перейти к содержанию
    

Поделить 10 МГц с помощью PLL на 3125

Понадобилось получить на плис 3.2 кГц из 10 МГц, вывести эту частоту на обычный IO и на пару триггеров внутри плис подать.

Сначала хотел реализовать на счетчике, смотрю аж 12 разрядов нужно.

Думаю зачем триггеры попусту расходовать, поделю на PLL (свободные выходы есть).

И получил критическое предупреждение :

Critical Warning (176584): Output pin "TIM" (external output clock of PLL "PLL1:inst_PLL1|altpll:altpll_component|PLL1_altpll1:auto_generated|pll1") uses I/O standard 3.3-V LVTTL, has current strength 8mA, output load 0pF, and output clock frequency of -0 MHz, but target device can support only maximum output clock frequency of 125 MHz for this combination of I/O standard, current strength and load

Это ж какой такой ужасный джиттер на пине может получиться ?

Может лучше всё же на счетчике сделать?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, Freibier сказал:

Понадобилось получить на плис 3.2 кГц из 10 МГц

У Альтеры PLL вообще меньше 1МГц (или около того) выдавать не может.

Можно поделить на PLL до приемлемых значений, а потом ещё и счётчиком, тогда разрядов у него меньше будет.

Изменено пользователем Jackov

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

То что лучше сделать на счетчике я понял.

Но мне просто интересно, где в DS говорят по минимально допустимую выходную частоту с PLL ?

Intel® MAX® 10 FPGA Device Datasheet

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 hours ago, Freibier said:

где в DS

Table 27. PLL Specifications for Intel MAX 10 Devices

fINPFD Phase frequency detector (PFD) input frequency  
Ну и смотрите PLL Block Diagram например в документе типа ALTPLL (Phase-Locked Loop) IP Core User Guide

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, Yuri124 сказал:

fINPFD Phase frequency detector (PFD) input frequency  

т.е. величина "fINPFD min" это и есть минимально допустимая выходная частота PLL?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

нет! это- мин вх частота на фазовый детектор.

перед ним - делитель.

смотрите блок схему для Вашего чипа, диапазон частот генератора, возможные коэфф-ты делителей в ветвях блока PLL

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 минут назад, Yuri124 сказал:

смотрите блок схему для Вашего чипа

Вот блок схема

2.thumb.jpg.a678e58ab5e8fad880cf6d912fe4fe19.jpg

 

11 минут назад, Yuri124 сказал:

возможные коэфф-ты делителей в ветвях блока PLL

M, N, C counter sizes = 1 to 512,  это они (коефф-ты)?

12 минут назад, Yuri124 сказал:

диапазон частот генератора

Этот диапазон частот где смотреть?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

18 minutes ago, Freibier said:

M, N, C counter sizes = 1 to 512,  это они (коефф-ты)?

да

18 minutes ago, Freibier said:

диапазон частот где смотреть?

генератор - это VCO (voltage controlled oscillator) - ищите где-то в доках. Примерно сотни мегагерц может быть.
А потом смотрите - на входы фазового детектора (PFD) можно подать минимум 5 МГц (т.е. входные 10 МГц можно делить на 1 или 2 счетчиком N) - такая же частота должна приходить и на нижний его вход (с делителя M).
А выходную частоту для Ваших нужд можно установить делителем C (одним из них) - из выходной частоты VCO и там какой-то мультиплексор есть с квадратиком (написано :2(1) - что за оно, смотрите в доках.

И прикидывайте (считайте) - можете ли получить желаемое непосредственно с этого модуля PLL выбором коэфф-тов деления, или нет - [обязательно уложиться в допустимый диапазон VCO!]. Или получить кратную частоту, которую потом доделить до требуемой дополнительным счетчиком мелкоразрядным.

Quote

The VCO frequency is a critical parameter that must be between 600 and 1,300 MHz
to ensure proper operation of the PLL.

 

т.е. получается - мин частота VCO 600 МГц, макс. делитель С - 512, на выходе можно получить около мегагерца минимум.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 11/30/2021 at 1:17 PM, Freibier said:

Но как же тогда визард такое допускает?

4.thumb.jpg.09eefac69d87f85dc998bb415f36bb64.jpg

Логичнее задать этот вопрос авторам визарда.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

38 минут назад, Acex сказал:

Логичнее задать этот вопрос авторам визарда.)

К сожалению мне этим заниматься некогда. Да и не особо уже интересно.

Если у кого есть желание, то пусть задаёт вопросы авторам визарда, я возражать не буду.)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

12 hours ago, Freibier said:

К сожалению мне этим заниматься некогда

В таком случае ответ может дать компиляция проекта (или только этого куска устройства) и натурные испытания. Возможно, посмотреть полученную после компиляции схему.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

53 минуты назад, Yuri124 сказал:

ответ может дать компиляция проекта

Так ответ же получен - Critical Warning (176584): ... выходная частота PLL -0 MHz , а максимально допустимая 125 MHZ! ...

а так как -0 > 125 нас и предупреждают )

А натурными испытаниями возможно займусь когда PCB приедут.

Или Вы о чём?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...