Перейти к содержанию
    

SystemVerilog, преобразовать input к enum?

Форумчане, день добрый.
Порядок в проекте навожу, ухожу от define к enum.

Вдруг есть возможность.
1) Имею input сигнал в модуль, поступает извне.
2) Есть список значений, который он принимает.
3) Есть ли возможность как то преобразовать одно к другому? Кручу верчу, никак синтезируемое не получается.
Кратко:

typdef enum logic [5:0] {
  value1 = 1,
  value2 = 2,
  value3 = 3
} list
  
module top (
  input wire [5:0]  signal
)
  .....
  case (signal)
    list??
  endcase
  ...
endmodule

 

Можно бы было сделать package и сделать input его типом по идее, но что то не идет, видать из за того, что сигнал внешний

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...