Перейти к содержанию
    

Замена Siemens (Mentor Graphics) Model (Questa) Sim

On 3/28/2021 at 4:15 PM, yes said:

ну и если хоть раз общались с представителями каденса / синопсиса - то одни дают в нагрузку симулятор, а другие синтез - как-то загадочно это, не находите :)

Был. Узнал. Собираемся. Результат заранее не известен.

image.thumb.png.bcb8954a10baa212db3fc1e5f84a16e1.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 hours ago, Kuzmi4 said:

когда сталкивался c Active-ом, с ним были минимальные изменения для ModelSim-based проекта

А я вот так и не смог перенести параллельным переносом проект в скрепке с ModelSim на Active-HDL. Наверное, не сильно упорствовал?

RoadMap.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 3/24/2021 at 9:16 PM, MaratZuev said:

Всем добра!

Чем, кроме Active-HDL, можно заменить ПО указанной в теме компании в целях верификации (временной и покрытия)?

кстати, сейчас же идет FPGA хакатон, там пиарят отечественный софт для ПЛИС, можно попробовать его, в целях эксперимента)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

14 minutes ago, des00 said:

кстати, сейчас же идет FPGA хакатон, там пиарят отечественный софт для ПЛИС, можно попробовать его, в целях эксперимента)

Они SystemVerilog не поддерживают. Фтопку.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

29 minutes ago, nice_vladi said:

Они SystemVerilog не поддерживают. Фтопку.

а как дысал, как дысал

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да там, похоже, и тех библиотек, что нет в составе, подгрузить не удастся: https://www.eremex.ru/products/delta-design/simtera/

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

On 4/21/2021 at 4:47 AM, dxp said:

А вроде обещано.

Если ниже пролистать, то вот такое написано.

Quote

В текущей версии движка Delta Design Simtera 1.7.1 поддерживаются следующие стандарты языков: Verilog-2001, VHDL-2008 и более ранние версии. 
Также доступно проектирование и моделирование смешанных проектов. В системе обеспечивается наиболее полная поддержка стандарта VHDL, полная поддержка стандартов Verilog (IEEE Std 1364-2001, IEEE Std 1800-2005, Verilog-AMS 2.3.1) в данной версии недоступна, частично поддержан стандарт IEEE Std 1364-2001. 

 

А кто-нибудь пользовался этой штукой?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

IEEE Std 1800-2005 — это и есть SystemVerilog (редакция 2005 года). 1364 — Verilog. 1800 — SystemVerilog.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...