Перейти к содержанию
    

Может ли ModelSim ASE (IFSE) собрать покрытие?

Встала задача попытаться посчитать покрытие на бесплатных инструментах.
Столкнулся с тем, что vopt, которым пользовался ранее, в бесплатной версии отсутствует.
Не там копаю, или и не копать даже?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а что он SV не поддерживает? 

квеста поддерживает 100%, значит весь тот кавередж, который в SV есть - поддерживается. там и UVM поддерживается "ис коропки"

среда, конечно, может что-то еще - например подсветку строк и т.п. но для "взрослой" верификации это не обязательно.

-------------

если ищите какие-то экстремальные варианты - то видел попытки прикрутить UVM к верилятору https://www.veripool.org/wiki/verilator

 

upd: systemc uvm - у верилятора SV нету (ну когда его я смотрел - не было)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, yes said:

квеста поддерживает 100%, значит весь тот кавередж, который в SV есть - поддерживается.

Does ModelSim ME support the code coverage feature?
No, code coverage is a licensed option for ModelSim PE/SE. You need to purchase a license to use this feature. Once you have a license, you need to set the code coverage option for compile and then load the design 
with coverage enabled and then the menus are enabled. The code coverage chapter of the ModelSim User Manual includes all the relevant information.

Warning: <SystemVerilog file>: (vlog-2186) SystemVerilog testbench feature (randomization, coverage or assertion) detected in the design. These features are only supported in Questasim.

Description
This warning may appear in the ModelSim-Altera Edition software when there is randomization, coverage, or an assertion in a SystemVerilog file used for simulation.
The ModelSim-Altera Edition software does not support randomization, coverage, or assertions. Lines with this feature in your simulation files are ignored.

Workaround/Fix
To work around this problem, use a simulation tool that supports these features, such as QuestaSim or VCS. The simulation results are not affected when these features are used.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...