Перейти к содержанию
    

Только что, Maverick_ сказал:

правильное ? все сигналы в списке чувствительности?

Да. Но процесс тут ни к чему. Тут просится when else.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще есть замечания/предложения? Возможно что-то не понятно...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Из быстрого просмотра:

if (to_integer(unsigned(avs_csr_address)) = 1)  then ...

в интеджер не обязательно преобразовывать - и меньше работы и код чище, достаточно сравнить unsigned:

if (unsigned(avs_csr_address) = 1)  then

А также в строках:

avs_s5_readdata <= std_logic_vector(to_unsigned(0, 14))&b_dout_aX_gdata;
и
avs_s6_readdata <= std_logic_vector(to_unsigned(0, 14))&b_dout_aY_gdata;

присваеваемые вектора имеют разрядность 32 бита. Не помню уже как себя будет вести синтезатор, но оставлять в воздухе половину вектора - плохая практика. Тем более что всё обявлено через generic'и, вот лучше разрядности задать через их родных.

Это касательно чистоты кода. С остальным не работал, увы не подскажу

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...