Перейти к содержанию
    

Работа ПЛИС в импульсном режиме

11 minutes ago, _sda said:

Возле чипа стоит около десятка 0,1uF...

Тогда остается попробовать заменить C56 на 470мкФ ±20% 2,0В EEFSX0D471E4

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще бы посмотреть - что на входе преобразователя творится. Относительно недорогие вишаевские 593-й серии 100 мкФ 16-20В допускают ripple current около 0,4А  - при всплесках потребления около 3А с десяток таких бы попробовать поставить только для ядра ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

4 минуты назад, blackfin сказал:

Тогда остается попробовать заменить C56 на 470мкФ ±20% 2,0В EEFSX0D471E4

Да, хорошие конденсаторы, спасибо. 

Только неясно как он поможет. Если взять dI = 3ампера, длительность радиоимпульса 200мкс, желаемую пульсацию 5мв(без учета esr) то 3*0,0002 / 0,005 = 0,12 фарады.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, _sda said:

Да, хорошие конденсаторы, спасибо. 

Только неясно как он поможет.

Практика - критерий Истины..

У вас был целый год, чтобы попробовать полимерные конденсаторы с низким ESR и понять, поможет это или нет..

К тому же, не только емкость на выходе стабилизатора участвует в стабилизации выходного напряжения. Сам стабилизатор тоже нужно учитывать.

Так что посчитанное значение емкости мягко говоря некорректно..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 минут назад, blackfin сказал:

Практика - критерий Истины..

У вас был целый год, чтобы попробовать полимерные конденсаторы с низким ESR и понять, поможет это или нет..

К тому же, не только емкость на выходе стабилизатора участвует в стабилизации тока. Сам стабилизатор тоже нужно учитывать.

Так что посчитанное значение емкости мягко говоря некорректно..

Какой там год - я тогда проблему решил и забыл за неё... 

На первой картинке внизу импульса видно начало экспоненты, при большой длительности импульса ОС стабилизатора возвращает выходное напряжение в норму. Ёмкости нужно компенсировать узкую полосу ОС.

8 минут назад, blackfin сказал:

К тому же, не только емкость на выходе стабилизатора участвует в стабилизации тока. Сам стабилизатор тоже нужно учитывать.

Так что посчитанное значение емкости мягко говоря некорректно..

На мой взгляд ёмкость на выходе не участвует в стабилизации тока. Нагрузка уменьшилась в два раза, ток вырос в два раза, нет стабилизации.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, _sda said:

я делаю вывод что сбой происходит в преобразователях уровня LVDS=>CORE

а что с питанием этих банков?

Просадка 30мВ на 1В питания ядра - это всего 3%. ИМХО маловато, чтобы сбить цифровой сигнал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 минуты назад, Yuri124 сказал:

а что с питанием этих банков?

Просадка 30мВ на 1В питания ядра - это всего 3%. ИМХО маловато, чтобы сбить цифровой сигнал.

Но когда я собирал имитатор импульса внутри плис с помощью NCO - не было ни бороды, ни иголок. Вывод - само ядро не сбоит.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

6 минут назад, _sda сказал:

Но когда я собирал имитатор импульса внутри плис с помощью NCO - не было ни бороды, ни иголок. Вывод - само ядро не сбоит.

Вот что теоретически возможно... У Ксайлинкса есть параметр SSO - число одновременно переключающихся выходов. И там у них есть ограничение на "число"&"сила тока выхода"... И есть калькулятор, который помогает вычислить максимальное число этих выходов... Может данные как раз из-за этого и портятся, а питание не при делах... 

http://www.xilinx.com/bvdocs/appnotes/xapp689.zip

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

54 minutes ago, _sda said:

Но когда я собирал имитатор импульса внутри плис с помощью NCO - не было ни бороды, ни иголок. Вывод - само ядро не сбоит.

Если у вас раньше работало, а потом перестало, то надо искать что изменилось.

Может платы изготавливаются на другом заводе и/или толщина медной фольги другая (например была 35мкм а стала 18мкм).

Может ревизия кристалла ПЛИС другая (она пишется, когда в  Impact нажимаешь Get Device ID). Нам как-то китайцы привезли перемаркированные инженерные образцы Xilinx с ревизией кристалла 0, вместо 4 у production.

Или партия/наименование/изготовитель конденсаторов по питанию отличается.

Парт номер ПЛИС вы не назвали, но на мой взгляд танталовых конденсаторов по питанию ядра маловато, проверьте соответствует ли их номинал Virtex-5 FPGA PCB Designer’s Guide  UG203. И по питанию банков также.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

была проблема вот такого вида на цинках 35ых. При появлении радиосигнала, начиналась его обработка, резко прыгало потребление плисы, что приводило к тому, что наглухо вис проц (!!!). проблему решил постоянной работой всего проекта и внедрением логики синхронизации обработки, т.е. математика вся работала постоянно, с другой синхронизацией по радиосигналу. Питание стало стабильным и проц больше не вис) 

Это я к чему, если не можете справиться с броском питания, при запуске обработки, обрабатывайте всегда, пусть даже шум. Синхронизацию придется изменить естественно)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

13 минут назад, des00 сказал:

Это я к чему, если не можете справиться с броском питания, при запуске обработки, обрабатывайте всегда, пусть даже шум. Синхронизацию придется изменить естественно)

Вот, очень здравая мысль!

Только я подобный финт сделал ещё год назад, я об этом писал вначале этой темы:

Тогда я проблему решил алгоритмически, "борода" и иголки исчезли, заказ был выполнен. 
Сейчас новый заказ, в восьми изделиях мой метод борьбы сработал, а на двух платах окончательно побороть его не удаётся.

И вот теперь ломаю голову об этих двух платах...

24 минуты назад, VladimirB сказал:

Если у вас раньше работало, а потом перестало, то надо искать что изменилось.

Может платы изготавливаются на другом заводе и/или толщина медной фольги другая (например была 35мкм а стала 18мкм).

Может ревизия кристалла ПЛИС другая (она пишется, когда в  Impact нажимаешь Get Device ID). Нам как-то китайцы привезли перемаркированные инженерные образцы Xilinx с ревизией кристалла 0, вместо 4 у production.

Или партия/наименование/изготовитель конденсаторов по питанию отличается.

Парт номер ПЛИС вы не назвали, но на мой взгляд танталовых конденсаторов по питанию ядра маловато, проверьте соответствует ли их номинал Virtex-5 FPGA PCB Designer’s Guide  UG203. И по питанию банков также.

Раньше покупали плис в Европе, а теперь стали покупать в Китае! У них, видите ли, дешевле!

Топология платы и изготовитель не изменились.

Насчёт конденсаторов я выше писал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В этом даташите - http://www.ti.com/lit/ds/symlink/lmz31520.pdf - посмотрел графики отклика на бросок тока - 20 мВ, при 500 мкФ керамики на выходе , скачок тока - 10А - этот стабализатор позиционируют как "с ультрабыстрым откликом" - то у ТС график напряжения не так уж и печально выглядит...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 час назад, _sda сказал:

Вот, очень здравая мысль!

Только я подобный финт сделал ещё год наза, я об этом писал вначале этой темы:


Тогда я проблему решил алгоритмически, "борода" и иголки исчезли, заказ был выполнен. 
Сейчас новый заказ, в восьми изделиях мой метод борьбы сработал, а на двух платах окончательно побороть его не удаётся.

 

Вот и ответ на Ваш вопрос: "если не можете справиться с броском питания, при запуске обработки, обрабатывайте всегда". Правильно сказал Денис - нет броска тока и нет проблемы! Ну так и давайте уберем этот бросок по току...

У Вас как сейчас сделана нагрузка по току для стабилизатора: "Полундра, за Родину, за Сталина, Урааааа"... И стабилизатор получает большой перепад сигнала, который приводит к длительному переходному процессу. И это плохо... А как говорят добрые люди, если пьянку нельзя отменить, то ее надо возглавить. А потому сделайте как у ракетчиков: "1-я ступень - полет нормальный, 2-я ступень ... ну и так далее" ...И это по времени надо растянуть примерно на время переходного процесса. Т.е. либо сделать "ступенчатый пожиратель тока" а потом его отключить и включить рабочую часть проекта, ну либо  рабочую часть проекта включать опять же частями... И при выключении - в обратном порядке... Тогда стабилизатор попадет в малосигнальную часть характеристики, а там быстродействие больше, чем в при больших сигналах...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

9 минут назад, Yuri124 сказал:

В этом даташите - http://www.ti.com/lit/ds/symlink/lmz31520.pdf - посмотрел графики отклика на бросок тока - 20 мВ, при 500 мкФ керамики на выходе , скачок тока - 10А - этот стабализатор позиционируют как "с ультрабыстрым откликом" - то у ТС график напряжения не так уж и печально выглядит...

Всё это так, но только разные экземпляры микросхем по разному реагируют на такой перепад напряжения. У меня вот две микросхемы упёрлись и ни в какую...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 minute ago, _sda said:

Всё это так, но только разные экземпляры микросхем по разному реагируют на такой перепад напряжения. У меня вот две микросхемы упёрлись и ни в какую...

Так может, это не ПЛИС'ы контрафактные, а PTH08T240 ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...