Перейти к содержанию
    

FPGA за 5$

Давеча наткнулся на статейку про ультрадешёвую ФПГА с вполне себе вменяемой начинкой (и RAM есть и DSP) Вот только у меня вызывают опасения высказывания по поводу их IDE. В прошлом месяце временно переехал на Альтеру - делали небольшой проект, так у меня до сих пор глаз во сне дёргается, а тут ещё вышел Витис... Короче кто-то сталкивался с сим девайсом/конторой? Как там среда разработки? Сильно плохо или через консоль проглотит как-то?

Ах да, собственно сама статья с референсными ссылками: HACKDAY

Небольшие детали ПЛИСины: GW1N-LV1QN48C6/I5 чип. Фирма GOWIN Semi GW1N.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

заодно может кто-нибудь поделится опытом насчёт среды разработки про китайские же Anlogic EG4S20 http://tang.lichee.pro/

не 5$ за плату конечно, а ~20 но уже с доставкой с алиэкспресса, зато 20К LE + SDRAM внутри плисины. ну и АЦП ещё

на схеме есть даже разъём с многообещающим названием MINI-PCIE, однако ни на фотографиях платы, ни в китайском даташите среди иероглифов наличие трансиверов обнаружить не удалось. что под этим имел ввиду сумрачный китайский гений я так и не понял.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

16 hours ago, Nick_K said:

В прошлом месяце временно переехал на Альтеру - делали небольшой проект, так у меня до сих пор глаз во сне дёргается, а тут ещё вышел Витис...

А что же там такое страшное? что нормально спать не даёт?

И кто такой Витис...???

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

5 hours ago, zombi said:

А что же там такое страшное? что нормально спать не даёт?

у меня после ISE с вивадой такое было, после квартуса)))

5 hours ago, zombi said:

И кто такой Витис...???

В соседней теме.

По сабжу, полагаю что софт рабочий, не без костылей, но сейчас время такое, все косячат.

ЗЫ. По описанию архитектуры, похожа на М1 от миландра) Первые, довольно жирные, ласточки)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

8 hours ago, zombi said:

А что же там такое страшное? что нормально спать не даёт?

Для начала хочу сказать, что я не критикую, а скорее просто привык к среде от Xilinx. Поэтому заядлых Квартусистов просьба дальше не читать.

Но оно такое кривое! Во-первых: Тайминг аналайзер. Генерировать отдельно для каждого типа констрейнов отдельный репорт. Серьёзно? Может в мегапроектах это позволяет экономить какие-то ресурсы, но для "обычной" разработки неудобно же! А один Unconstrained Path я так и не нашёл. Не в проекте ни в репортах. И хз где оно валяется.

Второе: блок дизайнер. Рили? Мерзкая табличка с кучей коннектов? Чуть глаза не сломал

Третье и самое главное: или у меня плата корявая (BeMicro MAX10 от Arrow) или я хз. Она тупо не зашивается! Если раз 20 перевоткнуть шнурок, тогда может пролагает и его величество соизволит схавать бинарник. А так может втупую торчать в состоянии залива и потом просто выдать ошибку... Через минуту-две. При этом успешная заливка происходит за секунды.

И-во-всём-остальном: не логично. Ну вот от слова совсем! Посмотреть чип - лезь в какое-то подменю в заднем месте чёрт и где и смотри. Схематик? В другом месте в подменю. Создать констрейны? Ха! Чего булки расслабил? Залезь туда-сюда-обратно и создавай. Ах не подтянул текущий констрейнт файл? Ну начинай сначала. Самое эпичное в этом месте: хочешь пользоваться внешним редактором под Линуксом? Вигвам тебе! Только через есплорер ибо консольной командой нельзя вызывать редактор из-под IDE :suicide2:

Может я чего не знаю (а я много чего не знаю), но такого гемора с Xilinx у меня скорее всего не было. Или я не помню, а по началу если и было, то спишу на свою неопытность.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Я работал с GOWIN (GW1NR9, GW2A18), могу сказать следующее.

Сама IDE не без глюков, нет иерархии файлов/модулей, лог. анализатор дикий тормоз, может глючить и отваливаться.

Синтез идет через Synplify или собственный синтезатор. Последний полный отстой. Валится на элементарных конструкциях.

Но Synplify работает вполне достойно. Время сборки проекта довольно мало.

Там больше проблемы с самими ПЛИС. Главный и очень серьезный баг, который я обнаружил, это некорректная работа BRAM.

Во всех режимах, кроме True Dual Port, данные могут писаться или читаться некорректно.

Это официально признанный баг и он даже описан в последних версиях документации.

Проблема в том, что если Ваш код синтезируется не в True Dual Port, нужно принудительно включать этот режим путем вставки памяти не как HDL, а как IP сгенеренное их кодогенератором. Что соответственно делает невозможным сделать полную параметризуемость Ваших блоков.

Ну и быстродействие это вообще грусть и печаль. Самый быстрый кристалл в два раза медленнее Cyclone IV E с самым медленным спидгрейдом.

Хотя у данных ПЛИС помимо цены есть еще существенный плюс в том, что в отличии от Altera и Xilinx они выпускаются в QFN корпусах.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

41 minutes ago, Nick_K said:

Но оно такое кривое! Во-первых: Тайминг аналайзер. Генерировать отдельно для каждого типа констрейнов отдельный репорт. Серьёзно? Может в мегапроектах это позволяет экономить какие-то ресурсы, но для "обычной" разработки неудобно же! А один Unconstrained Path я так и не нашёл. Не в проекте ни в репортах. И хз где оно валяется.

у него же автоматически выполняется пакетное задание на проверку всего, при этом это не откючается)

41 minutes ago, Nick_K said:

Второе: блок дизайнер. Рили? Мерзкая табличка с кучей коннектов? Чуть глаза не сломал

давно уже все пишут руками напрямую в qsf файле или подключают к qsf файл тикловский с описанием портов.

41 minutes ago, Nick_K said:

Третье и самое главное: или у меня плата корявая (BeMicro MAX10 от Arrow) или я хз. Она тупо не зашивается! Если раз 20 перевоткнуть шнурок, тогда может пролагает и его величество соизволит схавать бинарник. А так может втупую торчать в состоянии залива и потом просто выдать ошибку... Через минуту-две. При этом успешная заливка происходит за секунды. 

подозреваю что дело  в железке, там скорее всего ftdi  кривая или в системе у вас с ними проблемы (да, на фтдиай там все сделано)

41 minutes ago, Nick_K said:

И-во-всём-остальном: не логично. Ну вот от слова совсем! Посмотреть чип - лезь в какое-то подменю в заднем месте чёрт и где и смотри. Схематик? В другом месте в подменю. Создать констрейны? Ха! Чего булки расслабил? Залезь туда-сюда-обратно и создавай. Ах не подтянул текущий констрейнт файл? Ну начинай сначала. Самое эпичное в этом месте: хочешь пользоваться внешним редактором под Линуксом? Вигвам тебе! Только через есплорер ибо консольной командой нельзя вызывать редактор из-под IDE :suicide2:

чип эдитор, технолоджи маппер, ртл вьвер, по модулю и правой кнопке мыши, (вивадовский просмотр ресурса после имплементации, вообще без открытия проекта недоступен). констрейны в любом файле и подключить к проекту. Ну а редактор, а зачем вообще из него работать, писать отдельно, проверка синтаксиса тамже, вызовом компиляци симулятора, с перенаправлением потока))

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 hours ago, Nick_K said:

Самое эпичное в этом месте: хочешь пользоваться внешним редактором под Линуксом? Вигвам тебе!

из под квартуса открывает у меня Sublime Text 3 под Linux

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 hours ago, des00 said:

у него же автоматически выполняется пакетное задание на проверку всего, при этом это не откючается)

давно уже все пишут руками напрямую в qsf файле или подключают к qsf файл тикловский с описанием портов.

подозреваю что дело  в железке, там скорее всего ftdi  кривая или в системе у вас с ними проблемы (да, на фтдиай там все сделано)

чип эдитор, технолоджи маппер, ртл вьвер, по модулю и правой кнопке мыши, (вивадовский просмотр ресурса после имплементации, вообще без открытия проекта недоступен). констрейны в любом файле и подключить к проекту. Ну а редактор, а зачем вообще из него работать, писать отдельно, проверка синтаксиса тамже, вызовом компиляци симулятора, с перенаправлением потока))

Вы возможно говорите с точки зрения опытного пользователя, у которого в загашнике уже имеется 150 скриптов на Тикле, для запусков всякого разного - тогда согласен, проблемы минимальны. А как для начинающего в данной среде (а благодаря Квартусу, найти где и какая команда вызывается при работе, в отличии от того же Вивадо) очень сложно сразу заниматься разработкой, как белый человек. Приходится с матом и пивом воевать с IDE сначала

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

10 minutes ago, Nick_K said:

Вы возможно говорите с точки зрения опытного пользователя, у которого в загашнике уже имеется 150 скриптов на Тикле, для запусков всякого разного - тогда согласен, проблемы минимальны. А как для начинающего в данной среде (а благодаря Квартусу, найти где и какая команда вызывается при работе, в отличии от того же Вивадо) очень сложно сразу заниматься разработкой, как белый человек. Приходится с матом и пивом воевать с IDE сначала

вы уже передергиваете) когда мне, в первое знакомство с ква, пришлось создать проект с пинами, то я ввел два пина в редакторе, сохранил проект, и пробежался по файлам которые ква сделал. В итоге, все свелось к правке qsf файла (quartus settings file), где хранится вообще все, а дальше

set_instance_assignment -name IO_STANDARD LVDS -to blablabla

set_location_assignment PIN_E3 -to blablabla
set_location_assignment PIN_E4 -to "blablabla(n)"

все тоже самое что и в UCF файле. Это без чтения документации и прочего. Просто на уровне интуциии, с нулевым опытом. А про Xilinx, вы констрейны под ISE если писали, вот его концепция временных спецификаций, мозг сломаешь пока вьедешь)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

3 minutes ago, des00 said:

В итоге, все свелось к правке qsf файла (quartus settings file), где хранится вообще все, а дальше

А, ну так я то же самое сделал) Только нужно было ещё немного новых констрейнов на входные клоки написать - там пришлось открывать визард, ибо я не знал как Квартус назовёт свои синтезированные неты. Но в остальном то проблемы не из воздуха.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

2 часа назад, Nick_K сказал:

Но в остальном то проблемы не из воздуха.

Разруха, как известно не в клощетах, а в головах.

Квартус, по сравнению с ИСЕ или Вивадой образец логичности и простоты.

Перенос проектов из одного семейства в другое не составляет проблем, в отличие от Хилых, хотя говорят, что в последних своих версиях Хилые сие исправили.

 

А по теме обратитесь к СтюартЛиттл

Они занимаются продажами GOWINт
 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

10 minutes ago, wolfman said:

Квартус, по сравнению с ИСЕ или Вивадой образец логичности и простоты.

 :mda:По мне так Qu, еще можно сравнивать с ISE по простоте, но не с Vivado по логичности.

Удачи! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...