Перейти к содержанию
    

Правильное объявление pll

Доброго всем времени суток!

 

Делал проект на Cyclone III в Quartus 9.1, затем потребовалось перенести его на Cyclone IV EP4CE6E22I7, сейчас работаю в Quartus 15.0.0. pll добавлял из IP catalog, все настройки ставил по дефолту: один входной клок: 8МГц и два выходных: 200МГц и 32МГц. На вкладке "Operation mode" выбрал "Normal mode".

И вроде все нормально, камни очень похожие, но при компиляции появляется варнинг такого содержания:

 

warning.png

RTL симуляция выглядит так:

rtl.png

Сигнал, поступающий на вход (inclk0 8МГц) объявлен как Global Clock и определен на ногу 23. Тактирование идет с микроконтроллера.

В констрейнах объявляю клоки следующим образом:

create_clock -name clk -period 8MHz [get_ports {clk}]

create_generated_clock -name clk_200MHz -source [get_ports {clk}] -multiply_by 25 [get_nets {pll_ena_inst1|pll1|altpll_component|auto_generated|wire_pll1_clk[0]}]
create_generated_clock -name clk_adc -source [get_ports {clk}] -multiply_by 4 [get_nets {pll_ena_inst1|pll1|altpll_component|auto_generated|wire_pll1_clk[1]}]

Прошу совета - насколько критичен этот варнинг?

Заранее спасибо!

Изменено пользователем Sprite

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вроде как выдается сообщение о том, что Вы подключаете клок на PLL не через специально предназначенный для этого пин, и предупреждает что у такого клока будет большой Jitter.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вроде как выдается сообщение о том, что Вы подключаете клок на PLL не через специально предназначенный для этого пин, и предупреждает что у такого клока будет большой Jitter.

Странно, вроде бы 23 пин - это dedicated clock..

 

clock.png

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Писали ранее, что это баг

Однако что-то не исправили еще. забей

 

UPD не заметил, что написали про конкретное семейство artix V. Может только в нем и починили.

Изменено пользователем Darky777
Внести уточнение

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...