Перейти к содержанию
    

Параллельное включение блокировочных конденсаторов

А как связано потребление тока и импеданс связки конденсаторов? :wacko: Эээээ..........

А как стыкуются ваши 6ГГц и STM32F103 ??

1.

Импеданс связки конденсаторов умноженный на пиковый ток потребления дает dV между целевым напряжением

и реальным. И если она эта dV больше скажем 5% , а для некоего процессора это пороговое отклонение от напряжения,

то батарея не выполнит свою задачу.

 

И как я уже писал, все это никак НЕ касается STM32F103. У него требования к питанию довольно широкие и

тут просадка по питанию может сказаться только при работе на предельной частоте, но там они тоже дают

довольно размытые данные. То есть на 8МГц он будет работать как трактор со связкой 1+0.1 без проблем.

 

Rise/fall time у STM32F103 5ns, согласно общепринятому соотношению BW = 70МГц, то есть для STM32F103 имеет

смысл рассматривать именно эту частоту как потолок, а это очень низкая частота, чтобы всерьез разбираться

здесь с влиянием импеданса конденсаторов на работу процессора, а также переключение портов процессора,

и их влияние на потребление.

 

2.

Модель с предельной 6ГГц будет показывать валидные данные на 1ГГц, модель на 1ГГц будет слегка врать,

ну можно такое предположить, так как на границах диапазона данные не всегда верны.

1ГГц НИКАК не относится к STM32F103, но раз уж тут приводят графики до 1ГГц то если делать то делать.

 

 

Из всего вывод. Прежде чем вникать в вопросы импедансов, надо определиться с граничной частотой

потребления. В данном случае она 70МГц, и это очень низкая частота, чтобы заморачиваться, и просто надо

поставить минимум по 0.1uF на ногу, и один bulk конденсатор на 10..100uF и забыть.

Но если хочется освоить всю цепочку анализа, тогда надо делать это строго.

 

Собственно об этом мой пост.

 

PS: Про помехозащиту между аналогом и цифрой не буду провоцировать споры, просто скажу, если в данном

конкретном случае есть некий аналог рядом с цифрой, то его тоже надо рассматривать конкретно. Аналоги они ж разные...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

PS: Про помехозащиту между аналогом и цифрой не буду провоцировать споры, просто скажу, если в данном

конкретном случае есть некий аналог рядом с цифрой, то его тоже надо рассматривать конкретно. Аналоги они ж разные...

Да как бы и в самом STM есть АЦП и ЦАП, у которого земля общая с цифровым питанием, так что... Ну, если ТС их не использует, то можно ограничиться только требованием по питанию для цифры.

В остальном согласен.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но если хочется освоить всю цепочку анализа, тогда надо делать это строго.

Да, "хочется освоить цепочку анализа".

 

Сейчас я разбираюсь с книгой Отта (Henry W. Ott Electromagnetic Compatibility Engineering), из которой Alexashka приводил графики в сообщении 11. Для большей ясности хотелось бы разобрать простой модельный пример. Буду признателен, если участники форума найдут возможность покритиковать.

 

Пусть есть двусторонняя плата FR-4 толщиной 1,5мм, разведенная, как показано на рисунке. Ширина дорожек 0,3мм (разводка намеренно плохая для усиления паразитных эффектов и упрощения расчета). На плате установлен единственный активный элемент - инвертор LVC1G04. Частота передачи данных до 100Мбит/с. Выход нагружен на CL=10пФ. Питание VCC=3.3В подводится слева, от источника с большим ВЧ импедансом (например, от лабораторного БП через длинные провода). Развязка питания выполнена блокировочным конденсатором 0402 в непосредственной близости от МС и bulk-конденсатором 1206 10мкФ на расстоянии 25мм. Нижняя сторона платы – сплошной земляной полигон.

post-58306-1506520870_thumb.png

 

Оценим поведение схемы при номиналах блокировочного конденсатора 0402 1нФ, 10нФ, 100нФ. Топологию и остальные параметры схемы не меняем. Анализ:

1) Согласно даташиту Cpd=18пФ. Время нарастания tr в даташите не указано, принимаем равным 3нс.

2) Амплитуда динамического тока J = (CL+Cpd)*Vcc/tr = 30мА [Ott eq.11-1, 11-2b].

3) Целевой импеданс для амплитуды пульсаций dV=0.1В (3% от Vcc) - Zt=2*dV/J=6.7Ом, граничная частота fc=1/(pi*tr)=106МГц [Ott 11.4.5].

4) Эквивалентная схема для расчета импеданса приведена на рисунке, schematic для LTSpice во вложении. Микросхема моделируется источником тока (треугольные импульсы Trise=Tfall=3нс, амплитуда I1=0, I2=30мА) [Ott 11.2.1]. Индуктивность дорожки шириной 0.3мм при расстоянии до полигона 1.5мм — 0.68нГн/мм [Ott eq.10-5], индуктивность полигона — 0.026нГн/мм [Ott Fig.10-19], индуктивность межслойного - 0.8нГн ("типичное значение" из [Ott]). Паразитные параметры конденсаторов взяты из альтеровской PDN Tool (0402 1нФ — L=0.4нГн, R=161мОм; 0402 10нФ — L=0.4нГн, R=60мОм; 0402 100нФ — L=0.4нГн, R=28мОм).

5) Результат расчета импеданса приведен на графике. Синяя линия - целевой импеданс (рост 40дБ на декаду выше граничной частоты). Положения максимумов: (32.6МГц, 54Ом), (10.3МГц, 7.6Ом), (3.2МГц, 0.94Ом). Таким образом, номиналы 1нФ и 10нФ не проходят по целевому импедансу, а 100нФ – проходит.

6) Симуляция эквивалентной схемы в LTSpice дает следующие оценки для пик-пик пульсаций напряжения питания на микросхеме (V(V1)-V(V0)) в установившемся режиме на частоте максимума импеданса:

0402 1нФ f=32.6МГц — 628мВ

0402 10нФ f=10.3МГц — 31мВ НЧ + иголки 6нс 82мВ

0402 100нФ f=3.2МГц —1.4мВ НЧ + иголки 6нс 80мВ

 

Вопросы. Амплитуда иголок в вариациях с 10нФ и 100нФ одинакова из-за того, что на высоких частотах импедансы для этих двух случаев практически одинаковы. Правильно? Амплитуда низкочастотных пульсаций при симуляции получается намного меньше, чем должно быть по формуле dV=J*Z/2 (31мВ против 114мВ, 1.4мВ против 14.1мВ). Как это можно объяснить? Хотелось бы также услышать замечания по эквивалентной схеме и расчету паразитных индуктивностей.

 

post-58306-1506520883_thumb.png post-58306-1506520897_thumb.png

 

P.S. Не надо больше про STM32F103. У меня нет проблем с его разводкой. Эта тема скорее методически-образовательная :rolleyes:.

pdn1.rar

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Амплитуда низкочастотных пульсаций при симуляции получается намного меньше, чем должно быть по формуле dV=J*Z/2 (31мВ против 114мВ, 1.4мВ против 14.1мВ). Как это можно объяснить?

Замените источник тока с импульсного на гармонический с той же амплитудой и попробуйте еще раз.

 

ЗЫ. Мож я чё не понимаю, но умножать импульсный ток на гармонический импеданс это както мягко говоря странно :blink: Но это лучше пусть vvvv объяснит, я тут не силён.

Импеданс связки конденсаторов умноженный на пиковый ток потребления дает dV между целевым напряжением

и реальным.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Замените источник тока с импульсного на гармонический с той же амплитудой и попробуйте еще раз.

Для гармонического источника все сходится - dV=J*|Z(f)| (без двойки, конечно). Насчет "гармонического импеданса" - это Вы знатно сказали :rolleyes:. Если импульсы тока периодические, то в линейной схеме независимо от формы импульсов Фурье-спектр напряжения связан с Фурье-спектром тока через импеданс: Vn=Jn*Z(fx*n), где fx - частота следования импульсов, n - номер гармоники. Если мы задаемся целевым предельным значением модуля импеданса, то, зная спектр тока, можем однозначно оценить сверху среднеквадратическое значение напряжения пульсаций. А вот амплитуда... Я так понимаю, она может получиться любая, как фаза ляжет...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А вот амплитуда... Я так понимаю, она может получиться любая, как фаза ляжет...

Вот именно. Импульсы почему высокие? потому что вся совокупность бесконечных синусоид (из которых состоит импульс) складывается хитрым образом так, что образуют один высокий "горб" -импульс. Ваша схема -по сути фильтр, который действует обратным образом, он раскладывает импульс на отдельные гармоники, амплитуды которых уже не складываются (или складываются как случайные сигналы).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот именно. Импульсы почему высокие? потому что вся совокупность бесконечных синусоид (из которых состоит импульс) складывается хитрым образом так, что образуют один высокий "горб" -импульс. Ваша схема -по сути фильтр, который действует обратным образом, он раскладывает импульс на отдельные гармоники, амплитуды которых уже не складываются (или складываются как случайные сигналы).

На самом деле амплитуду можно строго оценить сверху (tr - время нарастания, T - период следования импульсов):

T)|}

Если считать, что модуль импеданса везде не превышает Zt, то

T) < Z_t J.

Но это амплитуда, пик-пик размах в два раза больше...

 

Кстати, в посте #33 у меня ошибка. 40дБ/декаду это спадание амплитуды гармоник треугольных импульсов. Целевому импедансу Отт "разрешает" расти (без особого обоснования) на 20дБ/декаду после fc=1/(pi*tr). Для этого случая оценка амплитуды V расходится.

 

Исправленный график |Z(f)| для поста #33:

post-58306-1506672866_thumb.png

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

На самом деле...
Математика для меня уже далеко позади осталась, так что все Ваши математические фокусы я всё равно не пойму. Вы покажите как симуляция сходится с Вашими расчетами (пусть не по Отту, не суть)... Ну а если не сходится, скорей всего в консерватории что-то не то. :) Хотя и симуляторы иногда врут.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Математика для меня уже далеко позади осталась, так что все Ваши математические фокусы я всё равно не пойму. Вы покажите как симуляция сходится с Вашими расчетами (пусть не по Отту, не суть)...

С симуляцией все сходится. Расчет «по Отту» обещает только то, что если модуль импеданса не превышает Zt (с учетом разрешенного подъема на 20дБ/декада после fc), то амплитуда пульсаций dV не превышает 0.5*J*Zt (J - размах тока пульсаций). В посте #33 так и получилось: для варианта с конденсатором 100нФ пульсации в симуляторе 80мВ пик-пик (амплитуда 40мВ), что с запасом меньше «целевых» 100мВ. Выделять отдельно низкочастотные и высокочастотные пульсации, как сделал я, в этом контексте бессмысленно.

 

Упражнения с математикой только из-за того, что по тексту Отта мне показалось, что оценка dV<=0.5*J*Zt является строгим математическим следствием принятой модели потребления в виде треугольных импульсов тока. Ни доказать ни опровергнуть это у меня сходу не получилось. Но это и не важно – можно рассматривать как эмпирическую методику. Тем более, что с ней согласуются даже грубые предельные случаи вроде голого дросселя на ножках питания.

 

Хотелось бы еще выяснить, как правильно оценивать индуктивность коротких дорожек. Например, для дорожки длиной 1,5мм получилось около 1нГн - больше, чем индуктивность via. Но общая формула справедлива для длинных дорожек, l>>h...

 

Правильно ли я понимаю, что при расчете развязки индуктивностью plane-ов принято пренебрегать? Во всяком случае, в альтеровской PDN Tool судя по эквивалентной схеме это вроде бы так…

 

Еще, у Отта в разделе 11.4.5 есть странный пассаж:

Therefore, the objective of decoupling should be to minimize the Vcc-to ground noise voltage at the pins of the IC. To accomplish this, one can neglect the internal inductance of the IC. Therefore, we only have to consider the decoupling capacitor inductance and the PCB trace (including via) inductance.

Интересно, какого порядка индуктивность внутренних соединений, например, в каком-нибудь большом QFP? Если она того же порядка, что индуктивность дорожки или via, на каком основании ею можно пренебречь?

 

В общем, пока не все ясно :rolleyes:

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Широкие проводники, цепочки конденсаторов 10:1 - это всё вчерашний день.

Без использования помехоподавляющих дросселей (бидов) это всё работает не так эффективно.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

ЗЫ. Мож я чё не понимаю, но умножать импульсный ток на гармонический импеданс это както мягко говоря странно :blink: Но это лучше пусть vvvv объяснит, я тут не силён.

Ну да, я выразился неправильно. Импеданс на конкретной частоте надо умножать на значение magnitude тока на данной частоте, то есть да нужен спектр потребления тока.

И тогда придется нырять в спектр падения напряжения, который потом надо суммировать, поэтому чтобы в такие дебри не залезать, лучше взять пакет

моделирования, самый простой AWR MWO, и в нем промоделировать работу сети конденсаторов.

 

Для чего, как я уже написал, либо импортировать layout конкретной трассировки, либо построить вручную упрощенную модель, но с переходными сделать это сложнее.

Простой путь, в пакете типа ADS Genesys или ANSYS SIwave выташить S-parameters трассировки. Можно тоже самое сделать в ANSYS HFSS или CST но считать будет пару дней.

Затем засунуть ее вместе с S-моделями конденсаторов в AWR MWO, и промоделировать совместно с IBIS моделью гейта типа LVC1G04, ну и да, не забыть проверить,

что там есть package parameters внутри IBIS модели.

 

Проверять математически бессмысленно, и тем более при помощи LT Spice, так как придется сделать слишком много допущений.

Полная модель гейта на плате с сетью конденсаторов включает

1. модель S параметров печатной платы,

2. модель IBIS гейта которая содержит package параметры гейта,

то есть RLC значения его пинов, обычно либо делается вручную либо входит в состав IBIS модели

учитывают паразитное влияние корпуса. И тут все зависит от типа корпуса, на каждый корпус

есть свои Package RLC модели, SOT, QFP, QFN, BGA у каждого корпуса свои параметры.

Для проверки лучше взять IBIS модель FPGA там точно все есть с привязкой к корпусу.

Вручную можно поискать в инете и просто добавить простые RLC цепочки на каждый пин.

3. модель S параметров конденсаторов

 

4. Модель источника питания, ну тут как правило идеальный источник, с внутренним сопротивлением

и индуктивностью провода от источника до точки входа питания печатной платы.

 

Все это запускается в Spice моделирование, на вход гейта подается последовательность бит, на выводы питания

вешается осциллограф, и получаем выбросы. И да у IBIS модели гейта есть пины питания, вот их активировать

и подключить к питанию и земле.

Хотя буржуи пишут что это некорректный способ проверки работы гейта, и прямой связи между работой

IBIS модели гейта и выводами питания там нет. Точнее она есть но количественно может работать не очень.

 

И вот тут можно попытаться вместо гейта поставить модель выходного каскада гейта на CMOS транзисторах,

ну и нагрузить гейт согласно схеме, на скажем IBIS вход другого гейта или группы через модель печатной платы,

которая будет выступать соединителем между гейтами.

Модель печатной платы в этом случае выступает как многоточечная модель S параметров, на 8, 12 или 20 выводов.

 

Ни в коем случае не навязываю такой способ проверки работоспобности, просто предлагаю как вариант.

 

PS: Тема на мой взгляд важная и нужная, я в этом деле дошел только до моделирования с IBIS моделями, дальше не ходил.

ТС предлагаю, если заинтересует, попытаться проработать вариант с выходным каскадом. Заодно расскажет что получилось.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Хотелось бы еще выяснить, как правильно оценивать индуктивность коротких дорожек. Например, для дорожки длиной 1,5мм получилось около 1нГн - больше, чем индуктивность via. Но общая формула справедлива для длинных дорожек, l>>h...
Этот вопрос наверно лучше в раздел Rf & Microwave Design

Вообще в этих расчетах забывают про распределенную емкость дорожки, т.е короткий и широкий проводник можно условно считать конденсатором ( на RF часто выполняют в виде сектора круга ), т.е. для точного расчета на высоких частотах нужно учитывать и распределенную емкость проводника, и распределенную индуктивность полигонов питания.

 

Общая формула наверное даст завышенное значение индуктивности, но может быть это и не плохо, так сказать проверка по наихудшему варианту.

Еще, у Отта в разделе 11.4.5 есть странный пассаж:

Therefore, the objective of decoupling should be to minimize the Vcc-to ground noise voltage at the pins of the IC. To accomplish this, one can neglect the internal inductance of the IC. Therefore, we only have to consider the decoupling capacitor inductance and the PCB trace (including via) inductance.

Интересно, какого порядка индуктивность внутренних соединений, например, в каком-нибудь большом QFP? Если она того же порядка, что индуктивность дорожки или via, на каком основании ею можно пренебречь?

А что тут странного? Это же не микросхема RF усилителя, который нужно правильно согласовывать по входу/выходу :) Цифровые (да и аналоговые_не_RF) микросхемы выпекают таким образом, чтобы пользователь мог смело ставить их на плату, обвязав соответствующим образом (т.е самыми типовыми блокирующими ёмкостями) и они нормально при этом функционировали...о чем и говорит автор, т.е нужно добиться, чтобы шум на ножках питания не превышал определенного уровня, а разводка внутри мелкосхемы это уже не ваша проблема :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Интересно, что получится, если соединить конденсаторы через развязывающие ферритовые бусины. Некоторые типы ферритов позиционируются как "рассеивающие". Будут с ними резонансы или нет - неясно.

Еще немного картинок :rolleyes:

 

На рисунке приведен вариант развязки шин цифрового и аналогового питания (например, для PLL или АЦП):

post-58306-1507053019_thumb.png

Импеданс источника питания моделируется резистором 0.1Ом и дросселем 100нГн. Bulk-конденсаторы на основной шине +3.3В - 22мкФ (1206), 2шт. Блокировочные конденсаторы на шине VCCA - 0.1мкФ. Монтажная индуктивность конденсаторов - 2.2нГн. Между шинами - BLM31PG601SN1.

 

Результат расчета импеданса по моделям Murata:

post-58306-1507052468_thumb.png

Синяя линия - импеданс с закороченной бусиной. Как обычно имеет место резонансный пик на частоте 6МГц из-за взаимодействия конденсаторов разных номиналов.

Красная линия - импеданс со стороны шины +3.3В при наличии бусины. Пик на частоте 6МГц полностью исчез.

Желтая линия - импеданс со стороны шины VCCA при наличии бусины. На частоте 131кГц появился резонанс большой амплитуды (123Ом).

 

Действительно, из модели BLM31PG601SN1 следует, что на низких частотах бусина ведет себя как дроссель с индуктивностью около 4мкГн. Совместно с емкостью около 400нФ это приводит к низкочастотному резонансу.

 

Если в схеме добавить на шину VCCA керамический конденсатор 10мкФ с малым ESR, то основной резонанс сгладится и уйдет ниже по частоте (4Ом 31кГц), но появится еще один поменьше (0.6Ом @ 5МГц):

post-58306-1507052478_thumb.png

 

Если последовательно с керамическим конденсатором 10мкФ включить демпфирующий резистор 2 Ома, как, например, рекомендуют здесь, то низкочастотный резонанс снизится еще в два раза (1.4Ом @ 29кГц), а высокочастотный резонанс останется на месте:

post-58306-1507052486_thumb.png

 

М.б. это всем известно, но для меня низкочастотные "пакости" от бусин были полной неожиданностью. Бусины всегда ассоциируются с высокими частотами, и та же Murata приводит характеристики от 1МГц.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Действительно, из модели BLM31PG601SN1 следует, что на низких частотах бусина ведет себя как дроссель с индуктивностью около 4мкГн. Совместно с емкостью около 400нФ это приводит к низкочастотному резонансу.

 

...

 

Если последовательно с керамическим конденсатором 10мкФ включить демпфирующий резистор 2 Ома, как, например, рекомендуют здесь, то низкочастотный резонанс снизится еще в два раза (1.4Ом @ 29кГц), а высокочастотный резонанс останется на месте:

 

...

 

М.б. это всем известно, но для меня низкочастотные "пакости" от бусин были полной неожиданностью. Бусины всегда ассоциируются с высокими частотами, и та же Murata приводит характеристики от 1МГц.

Это известная фича бусин. Вот тут мы обсуждали и выясняли. Антирезонансный пик "лечится" оксидным/танталовым конденсатором большой ёмкости, причём ESR как раз надо чтобы был не очень маленьким - чтобы было на чём энергии рассеиваться. Как раз пара Ом - то, что надо. но обычно у танталов оно поменьше.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Еще немного картинок :rolleyes:

 

На рисунке приведен вариант развязки шин цифрового и аналогового питания (например, для PLL или АЦП):

post-58306-1507053019_thumb.png

Хотел спросить как Вы извлекаете модели конденсаторов? Например у Вас С2=91,2нФ, если брать их кривую импеданса, то на 100кГц выходит Z=16,4 Ом, что соответствует С=97 нФ... далее если исходить из частоты собственного резонанса Fsfr=26,6 МГц и С=97 нФ, то индуктивность получается L=370 пГн (у Вас 277 пГн). Не понятно почему такое расхождение.

 

Про бусины это действительно давно уже обсуждалось, их фишка (большое активное сопротивление потерь) начинает работать с сотен МГц, а на низких частотах это действительно просто индуктор, со всеми вытекающими. Возможно более лучшим решением будет использовать проходные конденсаторы-фильтры, например серия NFM18/NFM21 той же Murat'ы.

 

Документик в котором описывается данная проблема Understanding_Ferrite_Beads_and_Applications.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...