Перейти к содержанию
    

5576ХС1Т как аналог FLEX10K и ModelSim

Возникла необходимость оценить возможность реализации проекта в российской 5576ХС1Т, которая является аналогом альтеровской FLEX10K.

Выкачивал разные версии квартуса с сайта альтеры.

Самый последний сапр, который поддерживает FLEX10K - это Quartus 9.0sp2.

При этом есть некоторая странность: при компиляции проекта для FLEX10K в файлах сгенерированных для симуляции с расширением *.sdo почему-то упоминается flex10ke.

Примерно вот так (фрагмент файла sdo):

 

(CELL

(CELLTYPE "flex10ke_asynch_lcell")

(INSTANCE da\[6\].lecomb)

(DELAY

 

Вторая странность заключается в том, что ModelSim 6.4a который в комплекте с Quartus II 9.0 содержит только библиотеки "flex10ke" и не содержит библиотек "flex10k".

Выкачал более ранние ModelSim от восьмого и седьмого квартуса - нигде нет библиотек именно "flex10k", везде только "flex10ke".

 

При этом, я думаю, что flex10k и flex10ke - это существенно различные микросхемы, так как после компиляции квартусом для flex10k в сформированном отчете говорится о Fmax=50МГц, а если скомпилировать тот же самый проект для flex10ke, то Fmax=80Мгц.

 

Возникает сразу множество вопросов:

1) можно ли выполнять временную симуляцию проекта FLEX10K указывая в ModelSim библиотеки от "flex10ke"? (других-то нет)

2) можно ли использовать результат временной симуляции проекта FLEX10K в ModelSim (с библиотеками от "flex10ke") применительно к 5576ХС1Т?

3) вообще 5576ХС1Т - это speed grade 4 или speed grade 3?

 

Собственно главный итоговый вопрос:

как оценить работоспособность проекта в плис 5576ХС1Т не имея самой микросхемы, а только используя методы временной симуляции ModelSim или используя отчеты Classic Timer Analizer от Quartus?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..а я в MAX+PLUS II синтез делал и там же симулировал, там вроде были все флексы что надо.

В квартусе только прошивки делал.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..а я в MAX+PLUS II синтез делал и там же симулировал, там вроде были все флексы что надо.

В квартусе только прошивки делал.

Тогда два вопроса:

1) симулировать в MAX+Plus II - это рисовать входные waveforms? довольно трудоемко ведь? Или там можно делать тестбенчи?

2) где взять MAX+Plus? На сайте альтеры в разделе downloads только какие-то апдейты и в разделе архива есть только старые квартусы и нет MAX+PLUS, я чего-то не нахожу

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возникла необходимость оценить возможность реализации проекта в российской 5576ХС1Т, которая является аналогом альтеровской FLEX10K.

 

Собственно главный итоговый вопрос:

как оценить работоспособность проекта в плис 5576ХС1Т не имея самой микросхемы, а только используя методы временной симуляции ModelSim или используя отчеты Classic Timer Analizer от Quartus?

 

Вообще, если говорить о Моделсим, то ему все равно о какой микросхеме идет речь. Если симулируете RTL уровень то просто даете ему исходники и тестбенчи. А потом делаете компиляцию в Квартусе и получчаете файл задержек, которые будут получаться в результате размещения проекта в кристалле. Этот файл добавляете к проекту в Моделсиме, получаете симуляцию с задержками...

Но если Ваш проект не работает на предельных частотах и Квартус выполнил все временные ограничения, то в большинстве случаев повторная симуляция с учетом задержек просто не нужна...

А на самом деле этот вопрос надо задавать разработчикам микросхемы "российской 5576ХС1Т", они ОБЯЗАНЫ дать ответ на этот вопрос...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вообще, если говорить о Моделсим, то ему все равно о какой микросхеме идет речь. Если симулируете RTL уровень то просто даете ему исходники и тестбенчи. А потом делаете компиляцию в Квартусе и получчаете файл задержек, которые будут получаться в результате размещения проекта в кристалле. Этот файл добавляете к проекту в Моделсиме, получаете симуляцию с задержками...

Но если Ваш проект не работает на предельных частотах и Квартус выполнил все временные ограничения, то в большинстве случаев повторная симуляция с учетом задержек просто не нужна...

А на самом деле этот вопрос надо задавать разработчикам микросхемы "российской 5576ХС1Т", они ОБЯЗАНЫ дать ответ на этот вопрос...

 

Файл задержек - это ведь SDO файл, правильно? (http://quartushelp.altera.com/15.0/mergedProjects/reference/glossary/def_sdo.htm)

Почему я компилирую для FLEX10K, а получаю в SDO файле строки вроде этих:

 

(CELL

(CELLTYPE "flex10ke_lcell_register")

(INSTANCE da\[6\].lereg)

(DELAY

(ABSOLUTE

(PORT clk (2700:2700:2700) (2700:2700:2700))

(PORT dataa (1200:1200:1200) (1200:1200:1200))

(IOPATH (posedge clk) regout (900:900:900) (900:900:900))

)

)

 

Могу ли я доверять этому SDO файле если там написано flex10ke_lcell_register?

Могу ли я доверять такому квартусу? Что-то меня мучают сомнения..

 

И по поводу modelsim-altera вопрос - он же включает в себя какие-то предкомпилированные библиотеки:

 

modelsim.png

 

В этом списке нет FLEX10K но есть FLEX10KE.

Если modelsim-altera включает в себя предкомпилированные библиотеки для конкретных микросхем, значит они таки ему нужны?

 

У меня сейчас какое-то недоверие к такому инструменту разработки.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Файл задержек - это ведь SDO файл, правильно? (http://quartushelp.altera.com/15.0/mergedProjects/reference/glossary/def_sdo.htm)

Почему я компилирую для FLEX10K, а получаю в SDO файле строки вроде этих:

 

 

В этом списке нет FLEX10K но есть FLEX10KE.

Если modelsim-altera включает в себя предкомпилированные библиотеки для конкретных микросхем, значит они таки ему нужны?

 

У меня сейчас какое-то недоверие к такому инструменту разработки.

 

Еще раз...

Библиотеки примитивов нужны Моделсиму только для того, чтобы найти в Ваших файлах используемые примитивы и знать как с ними работать... И на этапе RTL задержки не учитываются...

Учитываются они только после размещения проекта на кристалле...

Если нет в Ваших файлах задействованных примитивов и аппаратных блоков, то эти библиотеки не используются.

Хотите, давайте обсудим это по скайпу, мне словами проще..

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И на этапе RTL задержки не учитываются...

Этап RTL как раз не интересует. Это я могу сделать хоть в modelsim, хоть в iverilog без проблем.

Интересует именно временные задержки после размещения в кристалле. (И не понятно - это размещение в FLEX10K совпадает с размещением в "российском аналоге"?)

 

Если нет в Ваших файлах задействованных примитивов и аппаратных блоков, то эти библиотеки не используются.

Я не использую никаких мегафункций, только чистый verilog, но думаю, что примитивы (типа lcell, dffe, io) задействованы и требуются, потому, что modelsim при старте временной симуляции пишет:

 

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_lcell_register

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_io

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_asynch_io

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.dffe_io

# Loading instances from pci2dev_v.sdo

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.PRIM_DFFE

# Loading timing data from pci2dev_v.sdo

# ** Note: (vsim-3587) SDF Backannotation Successfully Completed.

# Time: 0 ps Iteration: 0 Region: /tb File: C:/altera/x350/qqq/tb.v

 

Только при указании пути к библиотекам flex10ke моделсим загружает файл sdo и готов к симуляции. И даже делает ее - вот только мне нужен не flex10ke, а flex10k.

 

Хотите, давайте обсудим это по скайпу, мне словами проще..

Может и хотел бы, но не думаю, что это удобно - отнимать чужое время в выходные..

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Этап RTL как раз не интересует. Это я могу сделать хоть в modelsim, хоть в iverilog без проблем.

Интересует именно временные задержки после размещения в кристалле. (И не понятно - это размещение в FLEX10K совпадает с размещением в "российском аналоге"?)

....

Может и хотел бы, но не думаю, что это удобно - отнимать чужое время в выходные..

Про "с размещением в "российском аналоге" может ответить только производитель микросхем. И он обязан ответить, Вы же работу ведете официально, иначе Вам 5576ХС1Т не было бы нужно..

А у пенсионеров все дни выходные... :)

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Про "с размещением в "российском аналоге" может ответить только производитель микросхем. И он обязан ответить, Вы же работу ведете официально, иначе Вам 5576ХС1Т не было бы нужно..

Кстати, если у Вас микросхема есть живьем, то Вы можете по JTAG прочесть ее ID и проверить, есть ли у Вас для нее BSDL файл, без которого микросхема не будет опознаваться при прошивке и не будет загружаться... Ну и возможно, что для flex10ke и для flex10k будет один и тот же файл...

А вот если "производитель микросхем" зашил в ID свои коды, то альтеровские файлы Вам не помогут и надо будет запрашивать изготовителя. Либо брать альтеровские и в них редактировать ID и прочие параметры...

И то, что у Вас есть вот такие

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_lcell_register

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_io

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.flex10ke_asynch_io

# Loading C:/altera/90/modelsim_ase/altera/verilog/flex10ke.dffe_io

говорит о том, что Вы сделали проект не для RTL, а сразу же для имплементации в кристалле. Потому как для RTL никакие "asynch_io" не нужны...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тогда два вопроса:

1) симулировать в MAX+Plus II - это рисовать входные waveforms? довольно трудоемко ведь? Или там можно делать тестбенчи?

2) где взять MAX+Plus? На сайте альтеры в разделе downloads только какие-то апдейты и в разделе архива есть только старые квартусы и нет MAX+PLUS, я чего-то не нахожу

 

1. про симулирование уже не помню, найдёте в инете

2. rutracker.org через tor или opera turbo

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Про задержки вам кроме разработчика никто ничего не скажет. ПЛИС 5576ХС1Т был сделан по техпроцессу 180нм, FLEX вроде 240нм, сами понимаете симуляция в Quartus без сторонних плагинов не сможет проводится корректно. Пообщайтесь лучше с разработчиком на эту тему, коем является КТЦ "ЭЛЕКТРОНИКА".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

По поводу Flex 10KE - это последняя серия Flex 10K сделанная по 220нм 2.5В.

К примеру, 10КА -300нм 3.3В, а 10К - 420нм 5В.

Разница конечно не только в питании, но и в скорости. А в остальном это одна и та же архитектура.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Не надо никакого ModelSim'a. В Quartus 9.0 имеется встроенный симулятор, который выполняет как функциональное, так и временное моделирование, только входные векторы надо рисовать. В проекте указываете ПЛИС EPF10К50RC240-4 и дальше компилируйте, моделируйте и выполняйте временной анализ, как обычно.

5576ХС1Т - полный аналог EPF10К50RC240-4, разница только в распиновке и напряжении питания. За 5 лет работы с ним у меня не было никаких проблем.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...