Перейти к содержанию
    

Подать такты не на специализированную ногу

А Вы забыли что например, в шинах с DDR наоборот рекомендуется клок формировать как дополнительный разряд данных(обычными портами IO)? Это я к тому что в ответах присутствуют только намёки,что мол это не хорошо и нет ни одного конкретного ответа к каким последствиям это может привести.

Прошу прощения, не увидел что это на выход клок, не на вход... У меня тоже самодельная плата где клок на передачу 88E1111 выходит из ПЛИС не на специализированный выход, эх... Может у меня та же проблема как и у автора темы? Тогда мне тоже интересно как это победить. Может надо тоже как-то фазу доворачивать, осциллографом посмотреть. Но у меня есть возможность отогнуть лапку и подпаяться :P

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Прошу прощения, не увидел что это на выход клок, не на вход... У меня тоже самодельная плата где клок на передачу 88E1111 выходит из ПЛИС не на специализированный выход, эх... Может у меня та же проблема как и у автора темы? Тогда мне тоже интересно как это победить. Может надо тоже как-то фазу доворачивать, осциллографом посмотреть. Но у меня есть возможность отогнуть лапку и подпаяться :P

У автора как раз вход "ENET_RX_CLK 125МГц идущий с PHY поступает на pll "

А DDR я для примера привёл,не гнушается народ клок передавать по обычным IO.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Даже в моём случае (+1Кбакс)?

а вы думаете, что FPGA-инженеру обойти такой косяк выйдет быстрее 2х недель?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Из описания не совсем понятно, сможет ли оно работать без PLL, но можно между ножкой и глобальным клоком поставить управляемую линию задержки на логике, параллельно завести клок в регистр, и, защёлкивая этот регистр тем же клоком, пропущенным через линию задержки и глобальный буфер, получится достаточно точно динамически подгонять фазу глобального клока. Параллельно создать ещё один глобальный клок, с дополнительной задержкой на логике(калиброванной или нет, в зависимости от уровня лени) на четверть периода. Такой DLL на LE:). Кстати, Хилые использовали подобную систему в MIG для Spartan3.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...