Перейти к содержанию
    

Xilinx block memory 7.2: инициализация памяти

Всем привет. Надо в проекте использовать ROM.

 

Файлик, инициализурущий память,пишу так:

 

MEMORY_INITIALIZATION_RADIX = 10; 
MEMORY_INITIALIZATION_VECTOR = 16000,
16000,
-16000,
-16000,
16000,
-16000,
-16000,
-16000,
-16000,
-16000,
.
.
.
.

 

и тд

 

Шина адреса - 10 бит. При этом, когда стоит адрес "000000000", то на выходе памяти не 16000,а просто ноль. А когда адрес "000000001" - то 16000 (и вообще,дальше данные идут правильно). В чем проблема?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Шина адреса - 10 бит. При этом, когда стоит адрес "000000000", то на выходе памяти не 16000,а просто ноль. А когда адрес "000000001" - то 16000 (и вообще,дальше данные идут правильно). В чем проблема?

А какова латентность памяти?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А какова латентность памяти?

1 clock cycle

 

Да,при загрузке сое файла в память можно посмотреть его содержимое непосредственно в генераторе ядер, и так как и положено,отображается 16000 по нулевому адресу. А в Моделсиме - нолик.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 clock cycle

 

Да,при загрузке сое файла в память можно посмотреть его содержимое непосредственно в генераторе ядер, и так как и положено,отображается 16000 по нулевому адресу. А в Моделсиме - нолик.

счетчик адреса?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

счетчик адреса?

Увеличивается на 1 при каждом восходящем фронте тактового сигнала

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

1 clock cycle

 

Да,при загрузке сое файла в память можно посмотреть его содержимое непосредственно в генераторе ядер, и так как и положено,отображается 16000 по нулевому адресу. А в Моделсиме - нолик.

так в генераторе - то что внутри, а в моделсиме - то, что на шине. Посмотрите в моделсиме окно начинки памяти, наверняка там будет тоже, что и в генераторе ядер.

А то что "нолик", так это то, что задано для выходного регистра памяти... Если надо, эту величину можно переназначить так, как надо...

И при латентности 1, все верно...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тут на эту же тему возникла занятная проблема.

 

Проблема: у меня есть 2 сгенерированных ядра памяти (параметры задаются одинаково). Оба получившихся блока есть у меня в библиотеке проекта, но корректно все работает, только если в дизайне только одно из них. То есть,допустим,я добавил в проект обе памяти,но к выходам одной из них ничего не подключил - не работают обе. Если однуиз них удалить, то оставшаяся работает правильно. Не сталкивался кто-нибудь?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Тут на эту же тему возникла занятная проблема.

 

Проблема: у меня есть 2 сгенерированных ядра памяти (параметры задаются одинаково). Оба получившихся блока есть у меня в библиотеке проекта, но корректно все работает, только если в дизайне только одно из них. То есть,допустим,я добавил в проект обе памяти,но к выходам одной из них ничего не подключил - не работают обе. Если однуиз них удалить, то оставшаяся работает правильно. Не сталкивался кто-нибудь?

ищите в своей схеме/описании работы с памятями ошибку...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а вот чисто ради прикола просимулите через встроенный ISim, я тут уже некоторое время наблюдаю забавности как раз в симмуляциях памяти

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а вот чисто ради прикола просимулите через встроенный ISim, я тут уже некоторое время наблюдаю забавности как раз в симмуляциях памяти

Я работаю не в ISE, а в качестве симулятора использую Моделсим. При этом, в Моделсиме все как раз работает нормально при любой конфигурации дизайна, а описанный баг появляется при принятии данных непосредственно с ПЛИС.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...