Перейти к содержанию
    

Инициализация синалов. VHDL

В тему читал отчеты НАСА под названием "наши уроки", они в открытом доступе лень ссылку искать.

Короче взорвался у них спутник. Стали разбираться, выяснилось раньше времени сработали пиропатроны в результате что то не туда пошло взорвался двигатель, а затем и спутник. Управление пиропатронами заведено на FPGA. Стали далее разбираться, выяснили, что программист не проинициализировал порты, в результате случайная начальная комбинация выходов отправила спутник на тот свет.

Думаете программиста четвертовали? Дудки, он написал "производитель не написал в рекомендациях что порты обязательно должны быть проинициализированы".

Вывод "программист не виноват". Комиссия пришла к решению "написать инструкцию согласно которой порты FPGA должны быть проинициализированы".

Наши уроки... :)

Весьма странно. Если ноги используются, но никуда не подтянуты, то это чистый косяк ПЛИСовода и его можно четвертовать. Ну а если ноги не используются, то и проблемы не должно было возникнуть.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Весьма странно. Если ноги используются, но никуда не подтянуты, то это чистый косяк ПЛИСовода и его можно четвертовать. Ну а если ноги не используются, то и проблемы не должно было возникнуть.

 

это мы с вами это понимаем, а они - "ну тупыыыыые" (с) :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В верилоге это что-то вроде `ifndef SYNTHESIS, при этом дефайн SYNTHESIS глобальный, что позволяет легко переключать весь проект между синтезируемым и симулируемым. Как в VHDL такое делается, я не помню, но думаю, что подобным же образом.

 

К сожалению, и я не знаю, и коллеги.

 

Для xilinx делается как-то так:

    -- synthesis translate_off
      assert val >= x
        report "Function log2 received argument larger than its capability of 2^30."
        severity failure;
    -- synthesis translate_on

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...