Перейти к содержанию
    

Счетчик нажатия кнопок на LDM-XC3S500E-PQ208 (Spartan 3e)

Здравствуйте. Собираю 4-х разрядный счетчик нажатия кнопки на плате LDM-XC3S500E-PQ208.

 

Выдает следующую ошибку:

 

......

ERROR:Place:1018 - A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /

clock site pair. The clock component <but1_BUFGP/BUFG> is placed at site <BUFGMUX_X1Y0>. The IO component <but1> is

placed at site <IPAD174>. This will not allow the use of the fast path between the IO and the Clock buffer. If this

sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf

file to demote this message to a WARNING and allow your design to continue. However, the use of this override is

highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be

corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These

examples can be used directly in the .ucf file to override this clock rule.

< NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; >

 

 

подскажите, где я ошибся?

post-86484-1436421667_thumb.jpg

post-86484-1436421677_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. Собираю 4-х разрядный счетчик нажатия кнопки на плате LDM-XC3S500E-PQ208.

 

Выдает следующую ошибку:

 

......

ERROR:Place:1018 - A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /

clock site pair. The clock component <but1_BUFGP/BUFG> is placed at site <BUFGMUX_X1Y0>. The IO component <but1> is

placed at site <IPAD174>. This will not allow the use of the fast path between the IO and the Clock buffer. If this

sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf

file to demote this message to a WARNING and allow your design to continue. However, the use of this override is

highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be

corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These

examples can be used directly in the .ucf file to override this clock rule.

< NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; >

 

 

подскажите, где я ошибся?

Там в общем-то английским по-белому написано где вы ошиблись. Если уж совсем лень учить язык - то знайте, что в ПЛИС на вход тактирования нежелательно (о чем там и пишут) подавать

любой сигнал, источником клока могут быть далеко не все цепи и примитивы. Это называется "gated clock" и чревато массой самых разных неприятностей. Дальше вам поможет великий Гугл.

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте. Собираю 4-х разрядный счетчик нажатия кнопки на плате LDM-XC3S500E-PQ208.

 

Выдает следующую ошибку:

 

......

ERROR:Place:1018 - A clock IOB / clock component pair have been found that are not placed at an optimal clock IOB /

clock site pair. The clock component <but1_BUFGP/BUFG> is placed at site <BUFGMUX_X1Y0>. The IO component <but1> is

placed at site <IPAD174>. This will not allow the use of the fast path between the IO and the Clock buffer. If this

sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .ucf

file to demote this message to a WARNING and allow your design to continue. However, the use of this override is

highly discouraged as it may lead to very poor timing results. It is recommended that this error condition be

corrected in the design. A list of all the COMP.PINs used in this clock placement rule is listed below. These

examples can be used directly in the .ucf file to override this clock rule.

< NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; >

 

 

подскажите, где я ошибся?

Извините конечно, но мне кажется, что Вы на тактовый вход триггера, хотите подать сигнал с кнопки ?! В то время как надо подавать тактовый сигнал :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

......

ERROR:...........

< NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; >

 

 

подскажите, где я ошибся?

 

..вставьте в лист констрейнов (файл с раcширением ucf) эту строчку : NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; и ошибка исчезнет.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

..вставьте в лист констрейнов (файл с раcширением ucf) эту строчку : NET "but1" CLOCK_DEDICATED_ROUTE = FALSE; и ошибка исчезнет.

Ошибка не исчезнет, а превратится в ворнинг. Следующим этапом ищите ответ на вопрос "почему я нажимаю на кнопку один раз, а счетчик изменяется не всегда на единицу?".

Дальше "что такое глитчи и как с ними бороться?". Ну и в конце концов "что такое синхронный дизайн и почему все советуют смотреть в эту сторону?".

 

В общем, стандартная дорога по известным граблям...

Там кстати есть один популярный закоулок типа "я изобрел крутую асинхронную штуку, почему никто не оценил крутости моего изобретения?".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ошибка не исчезнет, а превратится в ворнинг. Следующим этапом ищите ответ на вопрос "почему я нажимаю на кнопку один раз, а счетчик изменяется не всегда на единицу?".

..иногда надо быть проще, дайте человеку возможность столкнуться с новыми проблемами и познать их суть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо за такое бурное обсуждение)))

 

Ошибка не исчезнет, а превратится в ворнинг. Следующим этапом ищите ответ на вопрос "почему я нажимаю на кнопку один раз, а счетчик изменяется не всегда на единицу?".

 

как избавиться от дребеззжания? я добавил к кнопке слово PULLUP , как говорится в статье http://habrahabr.ru/post/133871/

 

 

# PlanAhead Generated physical constraints

 

NET "but1" LOC = P54;

NET "but1" PULLUP;

NET "but4" LOC = P58;

NET "but4" PULLUP;

NET "led1" LOC = P47;

NET "led2" LOC = P48;

NET "led3" LOC = P49;

NET "led4" LOC = P50;

 

# PlanAhead Generated IO constraints

 

К тому же, в учебнике Тарасова написано, что

post-86484-1436430407_thumb.jpg

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Всем спасибо за такое бурное обсуждение)))

 

Ошибка не исчезнет, а превратится в ворнинг. Следующим этапом ищите ответ на вопрос "почему я нажимаю на кнопку один раз, а счетчик изменяется не всегда на единицу?".

 

как избавиться от дребеззжания? я добавил к кнопке слово PULLUP , как говорится в статье http://habrahabr.ru/post/133871/

 

 

# PlanAhead Generated physical constraints

 

NET "but1" LOC = P54;

NET "but1" PULLUP;

NET "but4" LOC = P58;

NET "but4" PULLUP;

NET "led1" LOC = P47;

NET "led2" LOC = P48;

NET "led3" LOC = P49;

NET "led4" LOC = P50;

 

# PlanAhead Generated IO constraints

 

К тому же, в учебнике Тарасова написано, что

Вы статью прочитайте до конца, там с дребезгом малость по-другому борьба идёт. Pullup тут нужен лишь для того, чтобы при отжатой кнопке на входе было известное состояние.

Кстати заметьте, что счётчик тактируется в приведённом примере (в конце статьи) от стабильного 50МГц источника клока, а не от кнопки.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Собрал, работает, считает, но как и предполагалось - кнопка дребеззжит. Способ от хабра не помог

 

Кстати заметьте, что счётчик тактируется в приведённом примере (в конце статьи) от стабильного 50МГц источника клока, а не от кнопки.

мне нужно от кнопки. И CLR - не тактовый вход, а вход очистки асинхронный.

 

Вы статью прочитайте до конца, там с дребезгом малость по-другому борьба идёт. Pullup тут нужен лишь для того, чтобы при отжатой кнопке на входе было известное состояние.

статью прочитал, но сложновато как-то для меня))) Можно как-нить понятнее мне объяснить? По военному :) :) :)

И где взять файл VHD, если я работаю на схематическом уровне?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И где взять файл VHD, если я работаю на схематическом уровне?

Не знаю,как в ISE, но в Квартусе и HDL Designer от Менторов его можно сгенерировать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Особенно не понятна фраза из статьи:

"Для этого мы заведем счетчик, который увеличивается на 1, пока кнопка имеет значение логической единицы. Счетчик обнуляется, если кнопка приняла значение логического нуля. Таким образом, сколько бы не было импульсов во время нажатия кнопки из-за дребезга, настанет момент, когда значение btn четко установится в логическую единицу, счетчик достигнет определенного значения, и мы сможем судить о том, что действительно было совершено нажатие кнопки."

 

о каком счетчике идет речь?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

о каком счетчике идет речь?

О том, который вы завели в строчке "Для этого мы заведем счетчик, который увеличивается на 1 ...".

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

О том, который вы завели в строчке "Для этого мы заведем счетчик, который увеличивается на 1 ...".

что значит завели?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вот вам пример

 

reg knopka_old = 0;
reg [7:0] ButHolder = 0;
reg knopka = 0;
reg knopka_0 = 0;


always @(posedge clk)
   begin
      //устраняем метастабильность
     knopka_0 <= knopka_in;
     knopka <= knopka_0;
     //выделяем фронт
     knopka_old <= knopka;

     //устраняем дребезг
     if(ButHolder != 0)
        ButHolder <= ButHolder - 1'b1;

     //реагируем на нажатие
     if((ButHolder == 0) && (knopka_old == 1'b1) && (knopka == 1'b0)) //для нулящей кнопки
        begin
           ButHolder <= 255;  
           .... //действия на нажатие кнопки
        end

   end

 

тут надо использовать внешний клок с заданной частотой идущий на клоковую ногу, можно его поправить при помощи PLL

knopka_in - это ножка кнопки, считаем что кнопка нулит вход, его надо подтянуть в 1.

 

первое что делается это устраняется метастабильность - это обязательно надо делать со всеми входными произвольными сигналами.

 

второе это сохраняется старое значение кнопки, когда старое будет 1, а новое 0 - это фронт, это переход из не нажатого состояние в нажатое, мы реагиурем не на уровень а на изменение, причем в одну сторону.

 

Но нажатие детектируется только когда счетчик в 0. Этот счетчик каждое нажатие кнопки взводиться в число, тем самым определяется пауза, во время этой паузы не будет детектироваться нажатия кнопки.

 

 

вот собственно и все. Дребезг - это по сути многократные нажатия кнопки, мы вводим паузу которая их просто пропускает.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

вот вам пример

 

reg knopka_old = 0;
reg [7:0] ButHolder = 0;
reg knopka = 0;
reg knopka_0 = 0;


always @(posedge clk)
   begin
      //устраняем метастабильность
     knopka_0 <= knopka_in;
     knopka <= knopka_0;
     //выделяем фронт
     knopka_old <= knopka;

     //устраняем дребезг
     if(ButHolder != 0)
        ButHolder <= ButHolder - 1'b1;

     //реагируем на нажатие
     if((ButHolder == 0) && (knopka_old == 1'b1) && (knopka == 1'b0)) //для нулящей кнопки
        begin
           ButHolder <= 255;  
           .... //действия на нажатие кнопки
        end

   end

 

а на VHDL можно? или в схематике? я мало что понял из программы.

 

и что такое метастабильность?

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...