Перейти к содержанию
    

Команда create_generated_clock.

Здравствуйте!

 

У Альтеры есть пример ( http://quartushelp.altera.com/14.1/master....rated_clock.htm ) как задать клок, полученный из исходного делением на 2.

 

# Create a clock and a divide-by-2 generated clock

create_clock -period 10 [get_ports clk]

create_generated_clock -divide_by 2 -source [get_ports clk] -name clkdiv [get_registers clkdiv]

 

Не могу понять ( и в примере это не объяснено ) - как задавать аргумент для get_registers ?

Если я делю частоту на счётчике с именем inst1|lpm_counter0, то как мне правильно задать аргумент для get_registers или использовать get_pins или что-то другое?

 

Такой вариант не проходит:

create_generated_clock -divide_by 2 -source [get_ports clk] -name clkdiv [get_registers inst1|lpm_counter0], тк Квартус не ассоциирует inst1|lpm_counter0 с регистром, т.е с командой get_registers.

Warning (332174): lpm_counter0 could not be matched with a register

 

Какие ещё get_...... существуют, которые ассоциируются со счётчиком?

 

P.S. И более общий вопрос - если клок делится на 2 в собственном модуле, то как его объявлять в create_generated_clock ?

Изменено пользователем rfm389

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

 

У Альтеры есть пример ( http://quartushelp.altera.com/14.1/master....rated_clock.htm ) как задать клок, полученный из исходного делением на 2.

 

# Create a clock and a divide-by-2 generated clock

create_clock -period 10 [get_ports clk]

create_generated_clock -divide_by 2 -source [get_ports clk] -name clkdiv [get_registers clkdiv]

Аргумент о котором вы спрашиваете в команде create_generated_clock означает то место откуда этот клок выходит (его root) - т.е конкретный пин, а не регистр вцелом (можно задать с FF.C а можно с FF.Q)

Вам надо чёто наподобие get_pins (непомню как это в Квартусе но точно есть :))

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Загрузите нетлист в TimeQuest, там в меню View->Node Finder..., появится диалог, в котором вы можете детально посмотреть, что в вашем проекте получается при get_registers, get_clocks, get_pins и т.д. Поищите там триггер, являющийся источником вашего поделенного клока, и либо возьмите имя целиком, либо задайте подходящую маску.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...