Перейти к содержанию
    

Xilinx Platform Studio и собственный AXI-мастер

Собираю проект в XPS, в котором мой AXI-мастер пишет данные в DDR. За основу взял пример от count enable. Компилю поект в ISE Project Navigator, запускаю ChipScope, смотрю сигналы, нахожу место которое нужно поправить в VHDL, правлю, а XPS изменений не видит. После долгих манипуляций, оказалось, что ему даже и не нужен уже тот VHDL файл! я его спокойно удаляю и проект нормально собирается и компилируется!

 

Как заставить XPS подхватывать изменения в моем VHDL файле? Помогите кто чем может... :help:

 

PS: ISE 13.3

Изменено пользователем mr.alexeevas

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в Платформ студии есть пунктик меню создать и импортировать периферию. При создании делается VHDL-Verilog файлы, и можно попросить к ним сделать ISE проект. Потом в ISE они делаются, отлаживаются, имплементируются. Потом через тот же пункт импортируются в Платформ студию. Студия работает с этим импортированным модулем.

В случае правок правиться ISE проект, имплементируется, а потом заново импортируется.

 

Без импорта платформ студия работает со старой версией.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

в Платформ студии есть пунктик меню создать и импортировать периферию. При создании делается VHDL-Verilog файлы, и можно попросить к ним сделать ISE проект. Потом в ISE они делаются, отлаживаются, имплементируются. Потом через тот же пункт импортируются в Платформ студию. Студия работает с этим импортированным модулем.

В случае правок правиться ISE проект, имплементируется, а потом заново импортируется.

 

Без импорта платформ студия работает со старой версией.

 

Я создаю свой мастер как раз через импорт переферии. Но он меня не спрашивает о создании проекта, только предлагает выбрать vhdl, проект XPS или mpd файл вроде. Далее спрашивает об интерфейсайх, подцепляет сигналы стандартные, далее спрашивает какие параметры вывести в диалоговое окно, которое вызывается из XPS и все. А где попросить создать к моему импортируемому VHDL ISE проект не нашел.

 

А каждый раз импортировать VHDL, создавая переферию и подключать его, мягко говоря, надоедает при отладке.

Изменено пользователем mr.alexeevas

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да вы создаете свой мастер через это меню. Там пока идете по визарду есть галочка сделать к нему ISE проект. По умолчанию он делает 1 файл, с галочкой проект. Этот проект вы открываете (я думаю вы эту галочку выбрали) и работаете с ним в ISE. Потому имплементируете и закрываете ISE или сворачиваете.

 

Далее в платформ студии опять в меню крей и импорт, в нем выбираете импорт и лезете в папку проекта, он сам найдет то что надо, выбираете, импортируете и ваш модуль появляется как корка в списке корок платформ студии в подменю User.

 

Первичная работа с модулем делается в ISE, там есть галочка и тесбенчь сразу сделать. То есть на самом деле импорт в платформ студию делается 1 раз после того как модуль полностью сделан и отлажен в ISE. Или после того как сменилась функциональность, вся отладка идет в ISE. Но я часто импортил, мне лень было с тестбенчем ковыряться, я на проце отлаживал, так что помню не парило...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Да вы создаете свой мастер через это меню. Там пока идете по визарду есть галочка сделать к нему ISE проект. По умолчанию он делает 1 файл, с галочкой проект. Этот проект вы открываете (я думаю вы эту галочку выбрали) и работаете с ним в ISE. Потому имплементируете и закрываете ISE или сворачиваете.

 

Далее в платформ студии опять в меню крей и импорт, в нем выбираете импорт и лезете в папку проекта, он сам найдет то что надо, выбираете, импортируете и ваш модуль появляется как корка в списке корок платформ студии в подменю User.

 

Первичная работа с модулем делается в ISE, там есть галочка и тесбенчь сразу сделать. То есть на самом деле импорт в платформ студию делается 1 раз после того как модуль полностью сделан и отлажен в ISE. Или после того как сменилась функциональность, вся отладка идет в ISE. Но я часто импортил, мне лень было с тестбенчем ковыряться, я на проце отлаживал, так что помню не парило...

 

Да, с тестбенчами и симуляцией AXI шины напряжно отлажывать мастер для DDR, для которой еще и модель нужно прикрутить. А так - собрал, в чипскопе покапался, посмотрел, исправил. А каждый раз импортировать как раз не хочется, поэтому вопрос и возник. Было бы удобно если можно было бы просто свою периферию обновить, и далее уже тыкнуть пересобрать)

 

Кстати, если создать новую переферию через визард в XPS и менять в VHDL логику, то XPS и ISE сразу реагируют на изменения. А вот если импортировать свое, то нет, странно...

Изменено пользователем mr.alexeevas

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

может там какие еще файлики добавляются чтобы это отслеживать. Я всегда через визард делал, но и всегда после правки заново импортил. Модуль в проце вроде не менял, какой стоял такой и оставался, разве что чистил и пуржил все... что-то уже не помню...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

У меня любые изменения в исходниках сразу применяются при следующей команде generate netlist в XPS. Корку я делал не через визард. Не экспортил, не импортил. Просто взял чужую корку и сделал по шаблону. Потом подсунул в ту же папку. Если все файлы корки правильно описаны, то корка видится средой сразу после закрытия-открытия XPS.

Предполагаю, что у топикстартера какие-то параметры в файлах корки не так заданы, поэтому и изменения не подхватываются. Предлагаю сюда выложить всю её папочку в архиве. Может кто из нас посмотрит и найдёт причину.

И в ISE я не работал с проектом для корки. Отлаживался в Active-HDL, потом сразу в XPS исходник этот.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то есть корки лежащие в папочке проекта сразу обрабатываются? а я во внешней папке все держал, в папке общих корок...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Когда я импортирую свою корку с помощью визарда, она добавляется в ту же папку, что и если бы я создавал новый мастер название проекта/mb_system/pcore/...

 

При создании нового проекта в папке devl которая входит в папку с названием корки, создается больше файлов. Попробую покопаться с ними + файл.prg создается немного в другом месте при импорте.

 

Как и рекомендовал Krys вот архив папки с корками pcores.rar

 

axi_pwm_v1_00_a - создание новой корки

AXI4_master_lite_v1_00_a - импорт по vhdl файлу

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

то есть корки лежащие в папочке проекта сразу обрабатываются? а я во внешней папке все держал, в папке общих корок...

Нет, у меня корки лежат не вместе с проектом, а в отдельной папке. Но в XPS на эту папку указан путь как на репозиторий с корками.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Проблему решил таким образом: создаю новый мастер с помощью визарда, открываю VHDL файл и в топлевеле вставляю свою логику, вырезая всякие соединяния для ipif. Изменения подхватываются сразу!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Написал свой мастер на AXI4 для управления DDR с режимом burst. Так как тема собственного мастера для памяти поднималась на форуме не раз, поэтому если кому надо или интересно, могу здесь опубликовать листинг или пишите в лс. Отдельный пост создавать не буду) :smile3046:

Изменено пользователем mr.alexeevas

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...