Перейти к содержанию
    

Моргание светодиодом на VHDL

Приведите идеи моргания светодиодом на языке VHDL.

reg [23:0] cnt=0;

always @(posedge i_clk)
  cnt <= cnt - 1;
  
assign o_led_blink = cnt[23];

 

reg [23:0] cnt=0;

always @(posedge i_clk)
  cnt <= cnt - 1;
  
assign o_led_blink = cnt[23];

черт на вхдл же просили

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Генератор ПСП

 

Если нужен VHDL код, могу привести пример.

Изменено пользователем mr.alexeevas

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

signal led_counter    : std_logic_vector(24 downto 0);

begin

LED_CNTR : process (RESET, CLK)
begin
if RESET = '1' then
    led_counter <= (others => '0');
elsif rising_edge(CLK) then
    led_counter <= led_counter + '1';
end if;
end process;

LED_OREG : process (RESET, CLK)
begin
if RESET = '1' then 
    USER_LED <= '0';
elsif rising_edge(CLK) then
    USER_LED <= led_counter(24);
end if;
end process;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

if cnt_led = x"05" then
    cnt_led <= x"00";
    led <= not led;
else
    cnt_led <= cnt_led + 1;
end if;

в зависимости от значения cnt_led, меняется частота моргания.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...