D-Luxe 0 20 ноября, 2013 Опубликовано 20 ноября, 2013 · Жалоба Приведите идеи моргания светодиодом на языке VHDL. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
ZASADA 0 20 ноября, 2013 Опубликовано 20 ноября, 2013 · Жалоба process (clock) begin if clock='1' and clock'event then led_out <= not led_out; end if; end process; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
topor_topor 0 20 ноября, 2013 Опубликовано 20 ноября, 2013 · Жалоба Приведите идеи моргания светодиодом на языке VHDL. счётчик Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
lennox 0 20 ноября, 2013 Опубликовано 20 ноября, 2013 · Жалоба Приведите идеи моргания светодиодом на языке VHDL. reg [23:0] cnt=0; always @(posedge i_clk) cnt <= cnt - 1; assign o_led_blink = cnt[23]; reg [23:0] cnt=0; always @(posedge i_clk) cnt <= cnt - 1; assign o_led_blink = cnt[23]; черт на вхдл же просили Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
mr.alexeevas 0 21 января, 2014 Опубликовано 21 января, 2014 (изменено) · Жалоба Генератор ПСП Если нужен VHDL код, могу привести пример. Изменено 21 января, 2014 пользователем mr.alexeevas Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
evsh 0 22 января, 2014 Опубликовано 22 января, 2014 · Жалоба signal led_counter : std_logic_vector(24 downto 0); begin LED_CNTR : process (RESET, CLK) begin if RESET = '1' then led_counter <= (others => '0'); elsif rising_edge(CLK) then led_counter <= led_counter + '1'; end if; end process; LED_OREG : process (RESET, CLK) begin if RESET = '1' then USER_LED <= '0'; elsif rising_edge(CLK) then USER_LED <= led_counter(24); end if; end process; Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
gibson1980 0 23 января, 2014 Опубликовано 23 января, 2014 · Жалоба if cnt_led = x"05" then cnt_led <= x"00"; led <= not led; else cnt_led <= cnt_led + 1; end if; в зависимости от значения cnt_led, меняется частота моргания. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться