Перейти к содержанию
    

Quartus II v13.1 - официальный релиз

Ну, что народ, кто-нибудь юзал?????

Удалены: MAX7000, MAX3000, Cyclone, Cyclone II, Arria GX, Stratix, Stratix GX, Stratix II, Stratix II GX.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Расстаться с версией 13.0 не получится, есть удачные проекты на не поддерживаемых теперь семействах :(…

Подскажите, 13.0SP1 (Win) лечится аналогично 13.0? Если по-новому, загрузите на ф-п инструкцию, пожалуйста.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

А кто-нибудь волшебные битики в sys.cpt еще не вкурил?

Выкладывал, потёрли. Можете почту оставить, скину дллки.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот и первый косяк: не могу найти ядро JESD204B ни в папке ..\ip\altera\, ни в в мегавизарде ...

Пожалуйста, проверьте, есть ли у вас такое.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Вот и первый косяк: не могу найти ядро JESD204B ни в папке ..\ip\altera\, ни в в мегавизарде ...

Пожалуйста, проверьте, есть ли у вас такое.

Нет ядра. Хотя судя по докам на сайте, версия 13.1 в принципе существует.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пока что, только негативные впечатления о работе в Windows 8.1 :crying: Еще проверю, как Quartus 13.1 ведет себя в Windows XP и ... буду ждать следующую версию :laughing:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Удалены: MAX7000, MAX3000, Cyclone, Cyclone II, Arria GX, Stratix, Stratix GX, Stratix II, Stratix II GX.

Может, подскажете заодно по этим старым семействам - формально до 13.1 они поддерживались, но на деле возможности были сокращены.

Например, уже давно (с версии 9.1) нет визардов для трансиверов Stratix GX, хотя сами кристаллы поддерживаются.

 

Но вот чего я не смог найти - так это какого-нибудь документа, из которого можно было бы понять, на какой версии заканчивается "полноценная" поддержка кристалла (с IP, мегавизардами и т.п.). Из стандартных Release Notes этого не понять ну никак.

М.б. существует шпаргалка по этой теме?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Под Windows XP у меня получилось перекомпилировать проект в Qsys, Quartus и Nios II (отладка). То есть, в результате я получил работающий проект считывания данных АЦП и выдачи их через Gigabit Ethernet.

 

Под Windows 8.1 версия Quartus 13.1 бьет все рекорды глючности. Обычно, не работает Nios II (компиляция и/или отладка). В этой версии под Windows 8.1 не работает ни Quartus, ни Nios II. При запуске Qsys, запускается Java Platform SE binary (32 бита). При выходе из Qsys она так и остается в памяти. Если в этот момент выйти из Quartus, то Quartus выдаст предупреждение, что не все окна закрыты. И предложит закрыть эти окна при выходе. Если продолжить работу в Quartus (или не перезагрузить Windows, зайти в Quartus) и запустить компиляцию проекта, то на этапе работы Fitter-а получим сообщение:

Error (114007): Database file d:/fpga/quartus_13_1/quartus/common/devinfo/cycloneive/ddb_cycloneive_jspice_31um_circuits.ddb corrupted. Database error: compression inflate error

То есть, теперь переустанавливайте то семейство FPGA, которое Вы использовали в проекте. У меня это Cyclone IV.

И большое отвлекающее диалоговое окно с сообщением:

Internal Error: Sub-system: SIN, File: /quartus/tsm/sin/sin_stingray_buffer_netlist_builder.cpp, Line: 120
Could not load jspice sub circuits library
Stack Trace:
   0x83db3: SIN_REPORTING_API::unload_manager + 0x120d3 
   0x62302: SIN_LEGALITY_CHECKER::check_legality + 0x3c2 
    0x719e: TIS_ATOM_CALCULATOR::conduct_aiot_simulations + 0x16e 
   0x14394: TAPI_ROOT_IMPL::run_parallel_aiot + 0x104 
    0xe424: TAPI_ROOT_IMPL::annotate_non_pll_atoms + 0x244 
    0x7d09: TAPI_ROOT_IMPL::start + 0x309 
    0x8505: TAPI_ROOT_IMPL::start + 0x75 
    0x87c3: TAPI_ROOT::start + 0xc3 
   0xbe8d1: FITCC_TDC_UTILITY::initialize_dat + 0x301 
   0xbee8c: FITCC_TDC_UTILITY::setup_tdc_utility + 0xbc 
   0xc0f42: FITCC_TDC_UTILITY::FITCC_TDC_UTILITY + 0x242 
   0x40b2a: FITCC_ENV::get_tdc_utility_or_create_if_necessary + 0x37a 
  0x1ab79b: FSAC_AUTO_GLOBAL_OP::~FSAC_AUTO_GLOBAL_OP + 0x4a6b 
    0x97d6: fcuda_execute + 0x5236 
   0x4851d: FITCC_EXPERT::fitter_preparation + 0x20d 
   0x4acf7: FITCC_EXPERT::invoke_fitter + 0x417 
    0x4798: fcuda_execute + 0x1f8 
    0xbab9: fmain_start + 0x7f9 
    0x1525: Legacy_fitter_Init + 0x4b5 
    0x2618: Legacy_fitter_Init + 0x15a8 
    0x2168: Legacy_fitter_Init + 0x10f8 
    0xf8a6: TclInvokeStringCommand + 0xc6 
   0x112a8: TclEvalObjvInternal + 0x328 
   0x121b5: TclEvalEx + 0x8d5 
   0x12d48: TclEvalObjEx + 0x2d8 
   0x1abbd: Tcl_EvalObjCmd + 0xfd 
   0x112a8: TclEvalObjvInternal + 0x328 
   0x56917: TclExecuteByteCode + 0xe47 
   0xa2376: TclObjInterpProcCore + 0x76 
   0x112a8: TclEvalObjvInternal + 0x328 
   0x56917: TclExecuteByteCode + 0xe47 
   0xa2376: TclObjInterpProcCore + 0x76 
   0x112a8: TclEvalObjvInternal + 0x328 
   0x121b5: TclEvalEx + 0x8d5 
   0x7c117: Tcl_FSEvalFileEx + 0x1d7 
   0x7a626: Tcl_EvalFile + 0x36 
    0xc1ff: qexe_ipc_progress_bar_name + 0x12bf 
   0x11dd6: qexe_get_command_line + 0x1556 
   0x150d5: qexe_run_tcl_option + 0x585 
   0x1e03d: qcu_run_tcl_option + 0xb8d 
   0x156ed: qexe_process_cmdline_arguments + 0x54d 
   0x15851: qexe_standard_main + 0xa1 

    0xa7f8: msg_exe_fini + 0x58 
    0xaf3c: msg_exe_fini + 0x79c 
    0x1f14: MEM_SEGMENT_INTERNAL::~MEM_SEGMENT_INTERNAL + 0x194 
    0xb8bf: msg_exe_main + 0x8f 

    0x15bc: BaseThreadInitThunk + 0xc 
   0x743d0: RtlUserThreadStart + 0x1c 

End-trace

Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version

 

С версии Quartus 13.0 Altera заменила IP компонент Triple-Speed Ethernet. Сильно изменился его интерфейс в Qsys. Поэтому, для созданных ранее проектов, в Qsys нужно удалять старый компонент Triple-Speed Ethernet и устанавливать новый. И как-то не очень очевидно, что pcs_mac_tx_clock_connection и pcs_mac_rx_clock_connection это сигналы tx_clk и rx_clk интерфейса MAC с Phy :cranky:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Следует заметить, что согласно официальному заявлению Quartus 13.1 совместим с Windows 8. О совместимости с Windows 8.1 нет упоминания.

Win 8.1 и 8 заметно отличаются.

 

 

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

...согласно официальному заявлению Quartus 13.1 совместим с Windows 8. О совместимости с Windows 8.1 нет упоминания...

Да, Вы правы. Вопрос к Altera: зачем декларировать совместимость с уже неиспользуемой версией Windows? Windows XP и Windows 7 являются устаревшими, но широко используемыми ОС. Windows 8.0 после выхода Windows 8.1 не нужна уже никому.

 

Большое спасибо за ссылку :a14:

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Но вот чего я не смог найти - так это какого-нибудь документа, из которого можно было бы понять, на какой версии заканчивается "полноценная" поддержка кристалла (с IP, мегавизардами и т.п.). Из стандартных Release Notes этого не понять ну никак.

М.б. существует шпаргалка по этой теме?

Такого документа для мегафункций, как PDN для микросхем, я у Altera не знаю.

Но, имхо, нужную информацию можно выловить как раз в тех самых "стандартных Release Notes" на выходящие версии квартуса.

По крайней мере, что касается Stratix GX и мегафункции ALTGXB, смотрим релиз ноутс на квартус v10.0:

The MegaWizard Plug-In Manager no longer supports the following megafunctions: ALT3PRAM, ALTACCUMULATE, ALTGXB, ALTSHIFT_TAPS, LTSQRT, LPM_ABS, LPM_ADD_SUB, LPM_AND, LPM_COMPARE, LPM_CONSTANT, LPM_COUNTER, LPM_DECODE, LPM_DIVIDE, LPM_FF, LPM_FIFO+, LPM_INV, LPM_LATCH, LPM_MUX, LPM_OR, LPM_RAM_DP, LPM_RAM_DP+, LPM_RAM_DQ, LPM_ROM, LPM_SHIFTREG, and LPM_XOR. You can compile designs that include the above megafunctions in the Quartus II software version 10.0, but you cannot create new instances or edit existing variations of these megafunctions.

 

Ну или можно покопаться в альтеровской Knowledge Base:

Why can I not select ALT2GXB or ALTGX MegawWzard to instantiate high speed transceivers for Stratix GX devices in Quartus II 10.0 or later software versions?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...