Перейти к содержанию
    

Как объективно сравнить FPGA различных производиттелей ?

Пытаюсь оценить ресурсы FPGA различных производителей, но натолкнулся на некоторую путаницу в параметрах.

Например емкость логических элементов у Altera и Xillinx оценивают в логических ячейках (Logic Cells), а у Actel - в системных вентилях (system gates). Я так понимаю, что это совершенно разные единицы. Хотелось бы узнать их соотношения.

И вообще: что хорошего кто может сказать про FPGA от Actel ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

В граммах?

БМВ или Мерседес? Если посчитаете даже транзисторы - что ктото даст гарантии?

Ну как при разной архитектуре точно можно посчитать. У Spartan6 6входовые скажем, у ALtera скажем 4х входовые.

Но в Вашем проекте ВСЁ легло на 4 входа LUT. Или наооборот ВСЁ нелегло. И только 6входовые спасли отца русской...

А так 6 входовой LUT - 64 бита таблица или память. Те. он больше 4 входового.

Но считать просто вентили некорректно и бессмысленно.

Так же и софт оптимизирует разный по разному с разными настройками синтезаторов. Synplify покажет скажем меньше вентилей чем XST.А вентилей все равно столько же в ПЛИС.

Если использовать Core generatorы - опять же дело в том кто и как пишет их в разных фирмах.

Если есть два САПР и один проект на VHDL - ну примерно сами и сравните(только точно нам:)).

Но на другом проекте может получиться наоборот.

Быстренько поменяем веру(софт, платы, текущие проекты).

Сравнение 6 вх lut xilinx и altera( http://www.xilinx.com/support/documentatio...pers/wp284.pdf).

Сами фирмы про себя врут всегда в плюс.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Actel старается получить сверхнизкое потребление, для этого у них много средств встроено в микросхемы и САПР.

Но дороговаты они вроде (на первый взгляд, подробно не сравнивал).

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Actel старается получить сверхнизкое потребление, для этого у них много средств встроено в микросхемы и САПР.

Но дороговаты они вроде (на первый взгляд, подробно не сравнивал).

 

А по-моему, наиборот Actel дешевле выходят, судя по ихним KIT-ам.

Это может радиационно-стойкие серии дорогие, так то святое дело ...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

И вообще: что хорошего кто может сказать про FPGA от Actel ?

 

хорошее в них только instant ready, или как оно в ихней рекламе называется - то есть то, что прошивку не нужно загружать, как-бы мгновенное включение

 

возможно криптозащита, но я еще не применял (она у латиса тоже есть)

 

остальное плохо -

очень медленные, большие затраты логики (из-за примитивного элемента): длинные пути

достаточно дебильный инструментарий (я еще работал с ксайлинсами/альтерами/латисами)

отсутствие всяких внутрисхемных анализаторов

 

адекватный путь сравнения ПЛИС

поставить какой-то тул типа Synplify | Precision и т.п. и синтезировать проект (если проекта нет - взять с опенкоресов) для разных платформ - посмотреть оценку

по времянке, может быть, будет сильно оптимистично, но это хоть как-то близко к оцекке

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

адекватный путь сравнения ПЛИС

поставить какой-то тул типа Synplify | Precision и т.п. и синтезировать проект (если проекта нет - взять с опенкоресов) для разных платформ - посмотреть оценку

по времянке, может быть, будет сильно оптимистично, но это хоть как-то близко к оцекке

 

Active-HDL сгодится для сборки проекта ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Active-HDL сгодится для сборки проекта ?

Нет, ибо он симулятор.

А нужен синтезатор.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Пытаюсь оценить ресурсы FPGA различных производителей, но натолкнулся на некоторую путаницу в параметрах.

Например емкость логических элементов у Altera и Xillinx оценивают в логических ячейках (Logic Cells), а у Actel - в системных вентилях (system gates). Я так понимаю, что это совершенно разные единицы. Хотелось бы узнать их соотношения.

Базовая единица сейчас это 4-х входовой LUT плюс триггер (LUT4)

Примерные соотношения таковы:

ALM Altera (как в Stratix II - Stratix IV) = 2.5 * LUT4

ALM Altera (в Stratix V) = 2.65 * LUT4 (двойной комплект триггеров добавляет 6%)

6-ти входовой LUT Xilinx (Spartan 6, Virtex 6) = 1.6 * LUT4 (или 1.8 я точно не помню)

20 system gates = 1 * LUT4

 

Плюс ко всему нужно учитывать памяти и умножители.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Плюс ко всему нужно учитывать памяти и умножители.

 

И ресурсы интерконнекта...

Для одних проектов критична память, для других - логика, для третьих - интерконнекты.

А потому для разных проектов будут иметь преимущества разные производители, при одинаковом числе эквивалентных триггеров...

И, если пользуетесь фирменными IP, то и это надо сравнивать...

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Базовая единица сейчас это 4-х входовой LUT плюс триггер (LUT4)

Примерные соотношения таковы:

ALM Altera (как в Stratix II - Stratix IV) = 2.5 * LUT4

ALM Altera (в Stratix V) = 2.65 * LUT4 (двойной комплект триггеров добавляет 6%)

6-ти входовой LUT Xilinx (Spartan 6, Virtex 6) = 1.6 * LUT4 (или 1.8 я точно не помню)

20 system gates = 1 * LUT4

 

Плюс ко всему нужно учитывать памяти и умножители.

 

 

И ресурсы интерконнекта...

Для одних проектов критична память, для других - логика, для третьих - интерконнекты.

А потому для разных проектов будут иметь преимущества разные производители, при одинаковом числе эквивалентных триггеров...

И, если пользуетесь фирменными IP, то и это надо сравнивать...

 

Да, я уже нашел примерно теже данные по FPGA:

 

fpga_logic_cells.pdf

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Кстати, насчет Synplify подскажите, плиз: у нас в закромах нашел с десяток вариантов. Какой лучше поставить ?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Естественно самый свежий - зачем Вам черствые версии :)

На сегодня это fpga201209sp1

 

Успехов! Rob.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Приветствую!

 

Естественно самый свежий - зачем Вам черствые версии :)

На сегодня это fpga201209sp1

 

Успехов! Rob.

Совсем не факт.

В 2012 версии глючный маппер. У меня он падает на проекте, который нормально собирается на 2011.09.

Также он падает при добавлении в проект на Verilog'е отладчика Identify.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Базовая единица сейчас это 4-х входовой LUT плюс триггер (LUT4)

Примерные соотношения таковы:

ALM Altera (как в Stratix II - Stratix IV) = 2.5 * LUT4

ALM Altera (в Stratix V) = 2.65 * LUT4 (двойной комплект триггеров добавляет 6%)

6-ти входовой LUT Xilinx (Spartan 6, Virtex 6) = 1.6 * LUT4 (или 1.8 я точно не помню)

20 system gates = 1 * LUT4

 

Плюс ко всему нужно учитывать памяти и умножители.

 

в спрашиваемых актелах вообще LUTа нету, как будете сравнивать?

даже больше - там и триггера явного нету

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...