Перейти к содержанию
    

Семинар-презентация Vivado

Как объяснил сам докладчик (выходец из России), что таких как он 10 человек. По его словам они разбросаны по всему миру для работы с клиентами - разъяснения косяков ISE и теперь Vivado. А косяков САПРа как мы знаем у Xilinx предостаточно. Вот

 

современные тенденции в тулзах такие, что выпустить тул без косяков - это проявить неуважение к клиенту

для АЗИК-овых тулов такое всегда вобщем было, вот и ПЛИСы подтягиваются

 

Больше меня поразил слайд на котором был приведен отчёт о затратах по ресурсам ISE vs. Vivado на какой-то проект. Я там увидел, что ISE затратило 136 блоков памяти, а так же различных других параметров. В то время как Vivado затратило 10 блоков памяти, а такце пропорционально меньше и всех других параметров.

 

интересно бы сравнить с флоу сиплифай-нетлист-айс, на HDL можно так написать, что синтезатор офигеет, а в ISE синтезатор слабоват

 

- весь САПР снабжон где только это возможно кроссом RTL <-> verilog, vhdl. А так же при анализе тайменгов и в многих других подобных областях

 

самое, имхо, главное - вивадо поддерживает SV, то есть симплифайский код без переписывания воспринимает, но опять же эффективность синтеза сравнить невозможно, так как таргет вивадо только 7-е семейство, для 6-го спартана не сгенеришь

 

- убрали chip-scope, всесто него logic analizer

 

попользовался, что-то разницы не заметил вообще.

они маркетеров понанимали, вот блин и изгаляются, при установке 14.2 засыпает весь десктоп какими-то мусорными иконками

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

самое, имхо, главное - вивадо поддерживает SV, то есть симплифайский код без переписывания воспринимает, но опять же эффективность синтеза сравнить невозможно, так как таргет вивадо только 7-е семейство, для 6-го спартана не сгенеришь

Парочка личных впечатлений по поводу вивадовского синтезёра. Преамбула : есть проект под кинтекс 325. Синтезится в синплифае, далее нетлист кормится айсу. Разводится как-то нестабильно, иногда тайминги отлично ложатся, а иногда заваливает непонятно откуда появившимися ошибками. Решил проверить как скушает этот проект вивадо. По синтаксису вроде всё прошло как надо (за исключением мелочей). Кое-где даже построже будет чем синплифай (например перемешивание packed/unpacked array сразу нашло и ругнулось). По RTL : 1. Не умеет упаковывать DP ROM с одинаковым контентом, но разными шинами адреса и данных в одну память - в итоге жрёт в 2 раза больше ресурса памяти - обошёл с помощью корок (слава богу там всего 4 уникальных памятюхи было). 2 - за каким-то хреном все DSP блоки выносит в top level иерархии, разного рода математические операции глядеть ну просто очень неудобно (тут важно чтобы максимально были задействованы все регистры в DSP блоках, иначе с таймингами беда). 3 - в некоторых случаях (а именно в циклах for()) упаковывает математику так, что умножители используются из DSP блоков, а вот регистры - все на "рассыпухе".Естественно таймингам в таком раскладе приходит пушной зверёк.

Как бороться - пока ещё не придумал, есть предположение, что использование generate for() вместо обычного for() может исправить ситуацию.Но это только гипотеза. В общем и целом - вся среда пока ещё очень сырая и нестабильная, частенько улетает по access violation.

В общем моё резюме : штука хорошая, пушистая, но пока ещё очень сырая и нестабильная. Думаю через годик-другой всё это подладится, и можно будет работать нормально.

Пожелание : неплохо бы сделать интегрированную поддержку синплифая как синтезатора. Родной синтезатор пока ещё весьма далёк от совершенства, надо пользователю предоставить выбор.

Из тогоЮ что порадовало :

появился анализ тамингов между разными клоками (иногда бывает нужно).

GUI довольно логично сделан, видно что потрудились разработчики интерфейса.

SV синтаксис таки поддерживается (ну наконец-то!!!) , и довольно прилично.

Из того, что ещё не попробовал (как всегда время в дефиците) - вивадо HLS. Интересно поглядеть на качество синтеза из сишного кода, да и вообще освоить эту технику.

Вот такие впечатления от Вивады.

UPD : гипотеза подтвердилась, поменял обычный for() на generate for() - стало нормально синтезировать.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Здравствуйте!

а показывали что-то на отладочных платах? например процесс проектирования?

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

а показывали что-то на отладочных платах? например процесс проектирования?

Процесс проектирования показывали на экране, кратко, но по делу. На отладочных платах - нет, т.к. семинар был полностью про софт, да и времени в обрез. Впрочем, на семинаре в Москве одна отладка лежала в фойе - в выставочных целях.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Стали доступны материалы семинара:

http://plis.ru/custom/InfoForSeminars/Viva...ar_dec_2012.zip

 

Ссылка недоступна, если у кого сохранилось запостите пожайлуста...

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Возможности Vivado HLS кто-нибудь изучал? Какие выводы?

Скачал, лицензия на 30дней. HLS не запускается, сообщений нет (WinXPsp3 32bit). Кто-нибудь может чего подсказать?

 

Скормил синтезатору:

module tst(
  output reg [3:0] q=0,
  input clk
);
always    begin
    while(q<9) 
        @(posedge clk) q<=q+1;
    while(q>1) 
        @(posedge clk) q<=q-1;    
end
endmodule

- стошнило, как и раньше. Наверно, в этой вселенной подобный код и не будет синтезироваться никогда.

 

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Ссылка недоступна, если у кого сохранилось запостите пожайлуста...

http://zalil.ru/34110575

 

Скачал, лицензия на 30дней. HLS не запускается, сообщений нет (WinXPsp3 32bit). Кто-нибудь может чего подсказать?

У меня проблем с запуском Vivado HLS 2012.3 на машине с WinXPsp3 32bit нет.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

лицензия на 30дней. HLS не запускается, сообщений нет (WinXPsp3 32bit)

GUI не запускается, CLI вроде работает - хватит, наверно, чтобы поглядеть.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сходил на неделе на семинар по впариванию цинка и вивады с хайлевелсинтезом.

Издевательски огромную часть времени заняло расхваливание всего этого дела с использованием стандартных приемов зомбирования.

 

Бесконечные бестолковые с «основными аспектами» слайды с квадратиками и стрелочками. Инъекции воды прямо в мозг, циклически повторяющиеся мантры и заклинания, типа, смотрите, как у нас тут все настраиваемо, можно сделать что угодно и так, как ВЫ этого захотите.

 

Это хорошо, что среди выступающих были иностранцы представители зарубежных контор, но пустая трата времени на дубляж с английского на русский просто выбешивала.

 

К организации мероприятия особых претензий не было, то что сразу место проведения хреннайдешь и пожрать на перерыве там негде — это все мелочи. Если кто пойдет берите с собой что-нибудь на обед.

 

Но из восьмичасовых посиделок все-таки удалось отфильтровать для себя кое-что полезное.

 

Цинк весьма годная хреновина. Имеет двухъядерный кортекс работающий на 800-1000 МГц.

Возможен запуск двух различных приложений взаимодействующих через кэш L2. Может стартовать даже с флэхи SD на FAT32. Ну про ПЛИС на борту и настраиваемые шины — тут все понятно. Это же просто мечта.

 

А вот по ХЛС не все так понятно, например, как пересадить импульс с переднего фронта на задний я не допер. Но несколько однозначных ништяков тоже есть.

 

Возможна симуляция и тест проекта со скоростью выполнения приложения на языке Си, т.е. обычно секунды, вместо овердохера на RTL. Непонятно насколько она соответствует реальности, но неопределенность тактовой частоты там задавать можно, так что надежда на годность есть.

 

Радует, что писать можно эклипсе, нормального редактора ни в планахэде ни в вивадо нам, видимо, не дождаться никогда. Мгновенная оценка рабочей частоты проекта и ресурсоемкости, хоть и примерная. Можно даже через директивы наставить пайплайнов!

 

При таком раскладе системгенератор с матлабовским симулинком остаются не у дел. И если это действительно так, то, скорее всего, кслиникс дропнет эту технологию за ненадобностью. Матлабовцы помнится очень хвалились этой фишкой, там что-то про ПЛИС ин зэ луп втирали.

 

Зачем-то на плакатах была указана и поддержка языка C++, видимо ошиблись. А то прямо руки чешутся инкапсулировать поля и метод завиртуалить. Потом глянуть как оно на верилоге это все будет))

 

Из демок показали только простейший проект фильтрации видео (картинок) 640*480 и то только в плане оптимизации сишного кода и настроек компиляции.

 

Хватит травы и воды, надо давать людям больше мяса!

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Сходил на неделе на семинар по впариванию цинка и вивады с хайлевелсинтезом.

...

А вот по ХЛС не все так понятно, например, как пересадить импульс с переднего фронта на задний я не допер. Но несколько однозначных ништяков тоже есть.

 

Возможна симуляция и тест проекта со скоростью выполнения приложения на языке Си, т.е. обычно секунды, вместо овердохера на RTL. Непонятно насколько она соответствует реальности, но неопределенность тактовой частоты там задавать можно, так что надежда на годность есть.

 

Я тоже сходил на этот семинар а Питере.

И задал Сергею Сторожеву вот такой вопрос.

 

Если я пишу программу на Си, то ее HLS воспринимает как архитектуру с только одним клоком!!!

Следователдьно, это тот клок, под который меняются данные. А вовсе даже не системный клок в кристалле. Т.е. первое, что приходит в голову, так это то, что если часть проекта будет обработана на Си, то сразу же появятся клоковые домены...

Далее тоже не понятно. Задаю вопрос. Если при ручном проектировании имеется тактовая 100 Мгц, а данные приходят на 1 Мгц, то все сумматоры, компараторы и пр. я могу обрабатывать побитно... А если я пишу на Си, то единственный клок будет - только 1Мгц... Как компилятор сделает сумматоры? Или конвейеры? Откуда он возьмет для этого дополнительные клоки?

Не понятно...

 

Ну и так далее.

По загрузке АРМа тоже есть вопросы...

Но, в целом, я воспринимаю этот семинар как полезный....

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

поделитесь архивом с семинара...

Пожалуйста.

 

Присоединяюсь к просьбе

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяюсь к просьбе

 

организаторы обещали разослать участникам архив семинара, пока пусто..

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...