Перейти к содержанию
    

VHDL вопрос из собеседования

If the code is legal VHDL:

Answer whether the behaviour of the signal z has the same behaviour as in the main architecture of sumit.

entity sumit is
port (
     a, b, clk : in std_logic;
     z : out std_logic
);
end sumit;

architecture main of sumit is
signal m : std_logic;
begin
     process ( clk )
     begin
         if rising_edge( clk ) then
                m <= a or b; 
         end if;
     end process;

     process ( clk )
     begin
         if rising_edge( clk ) then 
             z <= not m;
         end if;
     end process;
end main;

architecture  main_1 of sumit is
signal m : std_logic;
begin
    process ( clk )
    begin
        if rising_edge( clk ) then
            m <= a or b;
            z <= not m;
        end if;
    end process;
end  main_1;

architecture  main_ 2 of sumit is
signal m, p : std_logic;
begin
    process ( clk )
    begin
        if rising_edge( clk ) then
            m <= a or b;
        end if;
    end process;

    process ( clk )
    begin
        if rising_edge( clk )
            then z <= p;
        end if;
     end process;

    p <= not m;

end  main_ 2;

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

main and main_2 have the same behaviour

main_1 gets result on the next edge.

Изменено пользователем bookd

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...