pepl 0 12 сентября, 2012 Опубликовано 12 сентября, 2012 · Жалоба Доброго времени суток. Вопрос За сколько тактов выполняется операция суммирование ADC_out_16(15 downto 0) <= data_ccd(15 downto 0) + ADC_in_16(15 downto 0); пробую в один такт. идет с ошибкой. Кто нить сталктвался I use Quartus 12.0, VHDL. Thanks. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
StewartLittle 45 12 сентября, 2012 Опубликовано 12 сентября, 2012 · Жалоба пробую в один такт. идет с ошибкой. Весь вопрос в том, какова длительность этого Вашего одного такта :) Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
pepl 0 12 сентября, 2012 Опубликовано 12 сентября, 2012 · Жалоба Весь вопрос в том, какова длительность этого Вашего одного такта :) Если точно тактуется 16 МГц. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться
eugen_pcad_ru 0 12 сентября, 2012 Опубликовано 12 сентября, 2012 · Жалоба entity add_my is port ( A : in std_logic_vector (31 downto 0); B : in std_logic_vector (31 downto 0); Y : out std_logic_vector (31 downto 0) ); end add_my; architecture rtl of add_my is begin process( A, B ) begin Y <= A + B ; end process; end rtl; вроде работает (без тактов). Но можно и тактовую добавить. Цитата Поделиться сообщением Ссылка на сообщение Поделиться на другие сайты Поделиться