Перейти к содержанию
    

Суммирование в ПЛИС VHDL

Доброго времени суток.

 

 

Вопрос

 

За сколько тактов выполняется операция суммирование

 

ADC_out_16(15 downto 0) <= data_ccd(15 downto 0) + ADC_in_16(15 downto 0);

 

пробую в один такт. идет с ошибкой. Кто нить сталктвался

 

I use Quartus 12.0, VHDL.

 

Thanks.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

пробую в один такт. идет с ошибкой.

Весь вопрос в том, какова длительность этого Вашего одного такта :)

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Весь вопрос в том, какова длительность этого Вашего одного такта :)

 

Если точно тактуется 16 МГц.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

entity add_my is

port (

A : in std_logic_vector (31 downto 0);

B : in std_logic_vector (31 downto 0);

Y : out std_logic_vector (31 downto 0)

);

end add_my;

 

architecture rtl of add_my is

 

begin

process( A, B ) begin

Y <= A + B ;

end process;

 

 

end rtl;

 

вроде работает (без тактов). Но можно и тактовую добавить.

Поделиться сообщением


Ссылка на сообщение
Поделиться на другие сайты

Присоединяйтесь к обсуждению

Вы можете написать сейчас и зарегистрироваться позже. Если у вас есть аккаунт, авторизуйтесь, чтобы опубликовать от имени своего аккаунта.

Гость
К сожалению, ваш контент содержит запрещённые слова. Пожалуйста, отредактируйте контент, чтобы удалить выделенные ниже слова.
Ответить в этой теме...

×   Вставлено с форматированием.   Вставить как обычный текст

  Разрешено использовать не более 75 эмодзи.

×   Ваша ссылка была автоматически встроена.   Отображать как обычную ссылку

×   Ваш предыдущий контент был восстановлен.   Очистить редактор

×   Вы не можете вставлять изображения напрямую. Загружайте или вставляйте изображения по ссылке.

×
×
  • Создать...