Народ, помогите с ice40 ! Проблема следующая.
Есть плата с микроконтроллером на которой стоит ice40hx8k в корпусе cb132
Пытаюсь её прошить по SPI. Всё прошивается нормально, нога CDONE встаёт в единицу. Но прошивка не работает ! Пробую простейшее, подать 0 на ногу микроконтроллера и прочитать его. Ноль эффекта. Проверял пытался сделать это и с фирменной iceCube2, версиями и под винду и под линукс (виндовая версия у меня глючила по прошлому опыту работы с этим семейством) и пакетом iceStorm (в двух вариантах, с трассировщиками arachne-pnr и nextpnr. Ничего не помогает !
Прошивка простейшая, ошибиться невозможно
//верилог
module test(
output v
);
assign v=0;
endmodule
#pcf
set_io v M11
#----скрипт с arachne-pnr
#!/bin/sh
yosys -p "synth_ice40 -blif test_arac.blif" test.v
arachne-pnr -d 8k -P cb132 -p test.pcf test_arac.blif -o test_arac.asc
icepack test_arac.asc test_arac.bin
#-----скрипт nextpnr
#!/bin/sh
yosys -p "synth_ice40 -top test -json text_next.json" test.v
nextpnr-ice40 --hx8k --package cb132 --json test_next.json --pcf test.pcf --asc test_next.asc
icepack text_next.asc text_next.bin
При этом есть отладочная плата ce40-hx8 breakout board на которой стоит та же самая ice40hx8k, но только в корпусе ct256. Для неё все великолепно работают любые тесты, кроме версии iceCube2 под винду ! Такое впечатление, что трассировщик под корпус cb132 просто путает ноги. Не подскажите что с этим делать ? Я уже просто отчаялся.
С уважением
Евгений.