Перейти к содержанию
    

Andrey_GTI

Участник
  • Постов

    54
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный

Информация о Andrey_GTI

  • Звание
    Участник
    Участник

Контакты

  • ICQ
    Array
  1. Ищу полный список директив для компилятора C18 MPLAB. В описании дано только расширение для стандартных директив. Например интересует вывод сообщения в окно Build. Спасибо.
  2. Интересует актуальный вопрос шрифтов для отображения информации на графических индикаторах. В русском инете инфы маловато.
  3. Подскажите по какой таблице ASCII работает MPLAB на ассемблере MPASM для русских символов ? явно не совпадает с обычной расширеной cp866 http://ascii.org.ru/
  4. Спасибо, но это все не помогало, дело было в доп. сигнале OE, который несовместим с шаблоном описания для блочной памяи.
  5. Но теперь такая проблема, что память вообще не работает.... читаются нули, и ничего не записывается. Единственное, что есть в логе подозрительного INFO:Xst:1787 - Unable to map block <RASP8192X16M16> on BRAM. Output <DOUT<15>> is not connected to a FF
  6. Сейчас реализовано вот так вот. (* bram_map="yes" *) module RASP8192X16M16(DOUT,AD,CEN,CLK,DIN,OE,WE); output [15:0]DOUT; // output data (read) input CLK; // clock input CEN; // chip enable (active low) input WE; // write enable (active low) input [12:0] AD; // addres of memory input [15:0] DIN; // input data (write) input OE; // Only for TEST reg [15:0] DOUT; reg [15:0] mem [0:8191]; //4095 always @(posedge CLK) begin if (CEN == 1'b0) // clock enable if (WE == 1'b1) mem [AD] <= DIN; // write operation else if (OE == 1'b1) DOUT <= mem [AD]; // read operation end endmodule Но синтезатор пишет INFO:Xst:2664 - HDL ADVISOR - Unit <RASP8192X16M16> : The RAM <Mram_mem> will be implemented on LUTs either because you have described an asynchronous read or because of currently unsupported block RAM features. If you have described an asynchronous read, making it synchronous would allow you to take advantage of available block RAM resources, for optimized device usage and improved timings. Please refer to your documentation for coding guidelines. Хотя описание полностью синхронное, по одному CLK.
  7. Описание памяти делалось по их шаблону (кнопочка с лампочкой :))
  8. В проекте имеется 3 памяти: 2 ОЗУ и один ПЗУ, XST упорно не хочет размещать их на блочку и пихает в луты. Можно ли принудительно заставить синтезировать память на BlockRAM ? иначе занимает пол кристалла.
  9. Поискать было не сложно, что я и сделал в первую очередь. Но ничего более подходящего не нашел, тема "Просто про 8051" меня не устроила, а эта по Atmel оказалось ближе к сердцу... Вот что хотите то со мной и делайте )
  10. а с лицензией помогите плизз
×
×
  • Создать...