Перейти к содержанию
    

a.v.yastrebov

Участник
  • Постов

    11
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. попробуй так ... typedef struct { alt_u32 s_32; alt_u8 s_m_3[3]; alt_u16 s_16; } my_struct; int main() { my_stuct *ms; ms = (my_stuct*)alt_remap_uncached((void*)(EPCS_CONTROLLER_0_BASE),sizeof(my_struct)) ; ...
  2. Спасибо, буду ковырять. У меня получилось загрузить процессор с использованием advanced boot copier, но это слишком затратно по внутренней памяти
  3. что-то у меня никак не выходит... не могли бы Вы скинуть какой-нибудь проектик "Hello world!", который у Вас точно грузится при включении питания платы, сравню, как у Вас и у меня? Я был бы Вам очень признателен
  4. А клок не SDRAM у Вас, говорите, на 90 градусов опережает клок на qsys-систему?
  5. у меня тоже 11.0 установлен. Но я пробовал в 9.1 sp1, результат один и тот же. А в qsys Вы никаких дополнительных модулей не подключаете? напрямую соединяете SDRAM-controller и NIOS-II-processor? Просто при использании внутренней памяти, все работает, значит дело не в загрузчике, я так понимаю....
  6. ниче не понимаю...((( а в каком квартусе Вы собираете проекты? на какой частоте работает NIOS?
  7. это понятно. А вообще у кого-нибудь процессор загружается из EPCS при включении питания с такой конфигурацией: 1. адрес сброса на EPCS flash controller 2. адрес исключений на on-chip RAM 3. все поля в BSP Editor на SDRAM (кроме .exeptioins и .entry) ????
  8. Проверил сигналы на SDRAM при помощи осциллографа, все времена прекрасно соблюдаются, из отладчика SDRAM читается и пишется без единой ошибки, так же хорошо читается и пишется флешка из-под отладчика. Но при включении питания на ногах SDRAM тишина, будто туда загрузчик вообще не пытается ничего прописать... Про вариант со своим загрузчиком: в "alternative boot methods" есть пример под названием small_boot_copier, так вот в самом начале своей работы этот загрузчик считывает по базовому адресу EPCS 32-битное число и сравнивает его с 0xA5A5A5A5, что объявлено заранее под именем VALID_BOOT_SIGNATURE, но значения эти не совпадают, т загрузчик вываливается. Что бы это могло значить? Ведь под отладкой флешка прекрасно работает.
  9. При сдвиге фаз в 90 градусов, соблюдения констрайтнов никак не удается достичь. Но при сдвиге -70 градусов, все соблюдается с приличным запасом, но процессор по-прежнему не стартует... Для того, чтобы хранить инструкции и данные в SDRAM не нужет какой-то особенный bootloader, может контроллер SDRAM просто не проинициализирован к моменту запуска bootloader-а? Дело в том, что в отладке я можгу писать/читать в/из SDRAM, все работает корректно Спасибо всем за ответы! Неужели стандартный загрузчик EPCS контроллера не может загружать в SDRAM? Vadimuzz, я пробовал с помощью Flash programmer залить elf и sof в одну флешку, результат такой же...
  10. PLL из входного клока 16,9344 МГц делает 2, умножая на 3, сдвига фаз нет. Файл .sdc прикрепил
  11. Здравствуйте! На плате установлены: 1. EP3C40Q240-C8 2. SDRAM MT48LC4M32B2 3. EPCS M25P54 в количестве двух штук, одна из которых подключена к конфигурационным ногам ПЛИС, вторая - к regulag I/O (соответствует EPCS контроллеру в qsys) В qsys добавлено следующее: 1. on-chip memory 2. nios 2 processor 3. sysid 4. JTAG UART 5. EPCS flash controller 6. PIO 7. SDRAM controller (для MT48LC4M32B2 есть установка по умолчанию) Вектор сброса процессора установлен на базовый адрес EPCS flash controller Вектор обработчика исключений - на on-chip memory В Eclips создан проект, зажигающий светодиод на плате Задача: использовать SDAM для хранения инструкций и данных Для этого в BSP Editor во вкладке Linker области .text, .rodata, .rwdata, .heap, .stack, .bss указаны на SDRAM; .entry и .exeptions - на EPCS и on-chip соответственно. .elf-файл с программной частью зашивается в EPCS при помощи NIOS 2 Flash Programmer. .jic-файл с аппаратной частью зашивается при помощи стандартного Quartus Programmer. После передергивания питания NIOS не подает признаков жизни. Если же в BSP Editor во вкладке Linker области .text, .rodata, .rwdata, .heap, .stack, .bss указать на on-chip, после передергивания питания NIOS оживает.... Если у кого есть предположения, в чем проблема, с радостью выслушаю, заранее спасибо! Да, забыл сказать, что система нормально работает под отладкой в Eclipse, когда все области в BSP Editor указаны в SDRAM
×
×
  • Создать...