Перейти к содержанию
    

Anafema

Участник
  • Постов

    8
  • Зарегистрирован

  • Посещение

Репутация

0 Обычный
  1. Может я совсем сошла с ума, на сайте у самого Esterel действительно не нашла, но DASC или Synopsys. Что же на самом деле?
  2. Пока непонятно, чем это противоречит тому, что есть кодогенератор, как дополнительный пакет. То что можно проверять через VeriStand я уже почитала. А что вы предлагаете мне на SystemC делать? HDL из него генерировать?
  3. Все очень сильно зависит от того, что есть на плате, если на ней можно что-то наглядно посмотреть, сравнить с результатом моделирования, и ответные части, то смысл еще как-то есть, если плата голая, никуда не воткнуть, снимать характеристики неудобно, средств отображения нет, то смысл делать новую плату на такой старине нет. Кстати, такими ПЛИС могут заинтересоваться какие-нибудь товарищи для прототипирования БМК.
  4. Встроенной функции нет, есть дополнительный пакет. Это точно. http://www.eeherald.com/section/news/nw10000761.html вот, например. Вообще много инфрмации про их синхронный язык и как его туда обратно из HDL и обратно трансформируют.
  5. Здравствуйте! Я занимаюсь разработкой HDL-описаний для электроники по всяким разным ИСО и МЭКам. При этом для разработки стоящих в паралель процессоров, которые выполняют аналогичные алгоритмы, используется САПР ESTEREL. В нем можно строить довольно удобные интерфейсы для тестирования построенных программ. Недавно выяснилось, что в нем есть отдельный тул, который позволяет генерировать код на VHDL, но каких-либо вменяемых примеров соответствия нет. При том что САПР куплен легально у меня нет возможности проконсультироваться у службы поддержки. Сейчас для сравнения алгоритмов, приведения в соответствие приходится очень много колдовать, часто возникают нюансы, которые на первый взгляд незаметно. Вопрос такой, может кто-нибудь пользовался этим генератором? Как с соотвествием между выполнением функций?
  6. Сомневаюсь в большом количестве русскоязычной докумментации, рекомендую обратиться к вендору или искать какие-то вендоровские рускоязычные презентации. Ну или учить английский т.к. внутри тула возникают ошибки и документации по ним в любом случае придется читать на инглише.
  7. There is in fact a lot of tools for digital design. 3 vendors exist Mentor Olympus+ RealTime dedicated to small digital designs. Kinda ok tools, but I do not recommend usage, because tools are badly optimised and have tons of issues. Synopsys IC Compiler+ DC Compiler great tool for any scale designs, good support, nice tools. Cadence Encounter, also good tool, similar to Synopsys, depends on your knoledge and support can be rated as ok. All this tools can help with creating your digital part from Rtl to gds and integrate it with analog part.
×
×
  • Создать...